CFP last date
22 April 2024
Reseach Article

Temperature Sensitive Microprocessor Design to Reduce Heat Generation and Improve Performance

by Tamanna Afroze
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 164 - Number 3
Year of Publication: 2017
Authors: Tamanna Afroze
10.5120/ijca2017913596

Tamanna Afroze . Temperature Sensitive Microprocessor Design to Reduce Heat Generation and Improve Performance. International Journal of Computer Applications. 164, 3 ( Apr 2017), 1-8. DOI=10.5120/ijca2017913596

@article{ 10.5120/ijca2017913596,
author = { Tamanna Afroze },
title = { Temperature Sensitive Microprocessor Design to Reduce Heat Generation and Improve Performance },
journal = { International Journal of Computer Applications },
issue_date = { Apr 2017 },
volume = { 164 },
number = { 3 },
month = { Apr },
year = { 2017 },
issn = { 0975-8887 },
pages = { 1-8 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume164/number3/27460-2017913596/ },
doi = { 10.5120/ijca2017913596 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-07T00:10:13.470822+05:30
%A Tamanna Afroze
%T Temperature Sensitive Microprocessor Design to Reduce Heat Generation and Improve Performance
%J International Journal of Computer Applications
%@ 0975-8887
%V 164
%N 3
%P 1-8
%D 2017
%I Foundation of Computer Science (FCS), NY, USA
Abstract

Microprocessors are designed with very tiny microchips and heat induced due to operation makes the chip deteriorate their performance in many extents. Heat causes a portion of chip-area to get heated which degrades operation of many applications in chip-level. This work wants to make a watcher to watch the applications running in pipeline, and then by utilizing slack time in hardware level this work wants to improve performance of the processor. In this paper, this work proposes two new heat-control mechanisms to improve performance, one is at operation-level and the other is at architectural-level. At operation-level, this work proposes a prediction mechanism to predict the useful operations inside the microprocessor that performs as a sink for heat dissipation. At architectural-level, this work proposes a drain system for heat dissipation. The proposed prediction and drain mechanisms will reduce heat generation and thereby increase performance. This work has simulated the proposed system using Matlab and observed that the system works perfectly well. Java program has been devised to take care of fault tolerance and fault detection.

References
  1. M. Kondo and H. Nakamura, “A Small, Fast and Low-Power Register File by Bit-Partitioning,” Proceedings of the 11th Int’l Symposium on High-Performance Computer Architectur e,2005,pp. 1-10.
  2. J. Hu, K. John, and S. Wang, “Thermal-Aware Subarrayed Data Cache Microarchitectures,” International Journ al of Intelligent Control and Systems, Vol.13, No. 4, December 2008, pp. 251-263.
  3. Y. Zhang, D. Parikh, K. Sankaranaraya nan, K. Skadron, and M. Stan, “HotLeakage: A Temperature-Aware Model of Subthreshold and Gate Leakage for Architects,” University of Virginia Department of Computer Science Tech. Report CS-2003-05.
  4. I. Park, M. D. Powell, and T. N. Vijayku mar, “Reducing Register Ports for Higher Speed and Lower Energy”, In Proceedings of MICRO, 2002.
  5. M.S. Hrishikesh, N. P. Jouppi, K. I. Farkas, D. Burger, S. W. Keckler, and P. Shivakumar, “The Optimal Logic Depth Per Pipeline Stage is 6 to 8 FO4 Inverter Delays”, In the proceedings of the 29th International Symposium on Computer Architecture.
  6. J. Donald and M. Martonosi, “Tempera ture Aware Design Issues for SMT and CMP Architectures”, Work shop on Complexity-Effective Design, 2004.
  7. J. Donald and M. Martonosi, “Techn iques for Multicore Thermal Management: Classification and New E xploration”, ACM SIGARCH Computer Architecture News, 2006.
  8. Sheng-Chih Lin, N. Srivastava and K. Banerjee, “A Thermally –Aware Methodology for Design –Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs”, International Conference of Computer Design, 2005.
  9. G. H. Loh, Y. Xie, and B. Black, “Proce ssor Design in 3D Die-Stacking Technologies,” IEEE Computer Society, 2007. Pp. 31-48.
  10. H. Yu, Yu Hu, C. Liu, and Lei He, “Mi nimal Skew Clock Embedding Considering Time Variant Temperature G radient,” In the Proceedings of ISPLD, 2007, Austin, Texas, USA.
  11. Z. Qi, B. H. Meyer, W. Huang, R. J. Ri bando, K. Skadron, M. R. Stan, “Temperature-to-Power Mapping,” In the Proceedings of ICCD, 2010.
  12. S. Borkar, “Thousand Core Chips-A T echnology Perspective,” In the Proceedings of DAC, 2007, San Diego, C alifornia, USA.
  13. J. K. John, J.S. Hu , and S. G. Ziavras, “Optimizing the Thermal Behavior of Subarrayed Data Caches, ” International Conference of Computer Design, 2005.pp. 625-630.
  14. S. Borkar, “Design Challenges of Technology Scaling,” IEEE Micro, 1999. Pp. 23-29.
  15. K. Skadron,M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan, “Temperature-Aware M icroarchitecture,” International Symposium on Computer Architecture, 2003.
  16. O. S. Unsal, J. W. Tschanz, K. Bowman, V. De, X. Vera, A. Gonzales, O. Ergin, “Impact of Parameter Variations on Circuits and Microarchitecture,” In the Proceedings of IEEE Computer Society, 2006, pp. 30-39.
  17. M. Monchiero, R. Canal, and A. Gonzalez , “Design Space Exploration for Multicore Architectures: A Power/Performance/T hermal View,” In the Proceedings of ICS, 2006, Queensland, Australia.
  18. Moris Mano, Digital Design, Third Edition.
  19. K. Sankaranarayanan, S. Velusamy, M. Stan, and K. Skadron,“A case for thermal-aware floorplanning at themicroarchitect ural level.”Journal of Instruction LevelParallelism 8 , 2005, pp. 1-16.
  20. Web Tools, Online Physics Material Tutorial.
  21. Matlab, Mathwork’s Simulation Tool.
  22. B. Zhai, S. Pant, L. Nazhandali, S. Hanson, J. Olson, A. Reeves, and M. Minuth, “Eenergy-Efficient Subthreshold Processor D esign ,” In IEEE
  23. C. Hamacher, Z. Vranesic, and S. Zaky, “Compputer Organization”, Mc-Graw Hill, Fifth Edition.
  24. D. A. Patterson, and J.L. Hennessy, Com puter Organization and Design: The Hardware/Software Interface, Elseiver, Third Edition.
  25. D. A. Patterson, and J.L. Hennessy , Computer Architecture: A Quantitive Approach, Elseiver, Fourth Ed ition.
  26. I. Hossain, and B. K. Gunturk, “High Dynamic Range Imaging for Non-Static Scenes”, SPIE Electronic Imaging Conference, 2011.
Index Terms

Computer Science
Information Sciences

Keywords

Heat detection heat control power dissipation drain system runtime fault tolerance fault detection.