CFP last date
22 April 2024
Reseach Article

A Review of the Design Challenges for the 3-D on Chip Network Paradigms

by Neha Jain, Mayank Patel
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 169 - Number 9
Year of Publication: 2017
Authors: Neha Jain, Mayank Patel
10.5120/ijca2017914875

Neha Jain, Mayank Patel . A Review of the Design Challenges for the 3-D on Chip Network Paradigms. International Journal of Computer Applications. 169, 9 ( Jul 2017), 11-15. DOI=10.5120/ijca2017914875

@article{ 10.5120/ijca2017914875,
author = { Neha Jain, Mayank Patel },
title = { A Review of the Design Challenges for the 3-D on Chip Network Paradigms },
journal = { International Journal of Computer Applications },
issue_date = { Jul 2017 },
volume = { 169 },
number = { 9 },
month = { Jul },
year = { 2017 },
issn = { 0975-8887 },
pages = { 11-15 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume169/number9/28012-2017914875/ },
doi = { 10.5120/ijca2017914875 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-07T00:16:56.648326+05:30
%A Neha Jain
%A Mayank Patel
%T A Review of the Design Challenges for the 3-D on Chip Network Paradigms
%J International Journal of Computer Applications
%@ 0975-8887
%V 169
%N 9
%P 11-15
%D 2017
%I Foundation of Computer Science (FCS), NY, USA
Abstract

As feature sizes continue to shrink and integration densities continue to increase, interconnect delays have become a critical bottleneck in 2D NoC performance. The upcoming decades will require a change from mere transistor scaling to novel packaging architectures such as the vertical integration of chips referred as 3D integration. 3D silicon integration technologies have provided new opportunities for NoC architecture design in SoCs enabling the design of complex and highly interconnected systems in reduced space providing higher efficiency compared to 2D integration. The next challenge in front of researchers in the domain of NoC is to use NoC architecture as the backbone of the upcoming generation of 3D chips. Multiple design issues have to be addressed in this respect such as high chip temperature due to increasing power density leading to large interconnect-delays, lack of design methodologies, large area covered by vertical interconnects, problems related to optimally determining tier assignments and the placement of switches in 3D circuits. In this paper, we tried to exhibit and summarize the prevalent generic 3D NoC design issues highlighted by various recent research publications in the domain of NoC.

References
  1. M. Ali, M. Welzl, and M. Zwicknagl,“Networks on Chips: scalable interconnects for future Systems on Chips,” ECCSC 2008. 4th European Conference. Bucharest, pp. 240-245, July 2008.
  2. A.M. Rahmani, K. Latif, P. Liljeberg, J. Plosila, and H. Tenhunen, “Research and practices on 3D Networks-on-Chiparchitectures,” NORCHIP, 2010. Tampere, pp. 1-6, November 2010.
  3. Y. Xie, “Processor architecture design using 3D integration technology,” VLSI Design 2010. Banglore, pp. 446-451, January 2010.
  4. M. K. Puthal, M.S. Gaur, V. Laxmi,” Performance comparison of 2D and 3D Mesh NoC,” unpublished.
  5. K. Bernstein, et. al., “Interconnects in the Third Dimension: Design Challenges for 3D ICs,” Design Automation Conference, 2007. San Diego, pp. 562-567, June 2007
  6. M. Ieong, et. al., “Three Dimensional CMOS Devices and Integrated Circuits,” Custom Integrated Circuits Conference, 2003., in Proceedings of the IEEE 2003, pp. 207-213, September 2003.
  7. K. Salah, A. E. Rouby, R. Ragai, and Y. Ismail, “3D/TSV Enabling Technologies for SOC/NOC: Modeling and Design Challenges,” Microelectronics (ICM) 2010. pp. 268-271, December 2010.
  8. H. Wang, Y. Fu, T. Liu, and J. Wang, “Thermal management via task scheduling for 3D NoC based multi-processor,” SoC Design Conference (ISOCC), 2010, Seoul, pp. 440 – 444, November 2010.
  9. B. Zhang, H. Gu, Y. Yang, K. Wang, and Z. Wang, “Thermal and competition aware mapping for 3D network-on-chip,” in IEICE Electronic Express, vol. 9, pp. 1510-1515, October 2012.
  10. N. Hastanpour, S. Hessabi, and P. K. Hamedani, “,”N. Hassanpour, P. Khadem, S. Hessabi, “A task migration technique for temperature control in 3D NoCs,” AINA 2013 in press.
  11. A. B. Ahmed, “The design of a 3D Network-on-Chip for many-core SoC,” University of Aizu, October 2012.
  12. S. TYAGI,” Extended balanced dimension ordered routing algorithm for 3D-networks,” International Conference on Parallel Processing Workshops, pp. 499-506, 2009.
  13. T. Schonwald, J. Zimmermann, O. Bringmann, and W. Rosenstiel, “Fully adaptive fault-tolerant routing algorithm for Network-on-Chip architectures,” in Digital System Design Architectures, Methods and Tools 2007, Lubeck, pp. 527 – 534, August 2007.
  14. A.M. Rahmani, et. al., “Congestion aware, fault tolerant and thermally efficientinter-layer communication scheme for Hybrid NoC-Bus 3D architectures,” Networks on Chip (NoCs), 2011 Fifth IEEE/ACM International Symposium , Pittsburgh, pp. 65-72, May 2011.
  15. M. Ebrahimi, M. Daneshtalab, P. Liljeberg, J. Plosila, and H. Tenhunen, “Exploring partitioning methods for 3D Networks-on-Chip utilizing adaptive routing model,” Networks on Chip (NoCs), 2011 Fifth IEEE/ACM International Symposium, Pittsburgh, pp. 65-72, May 2011.
  16. F. Li, et. al.,”Design and management of 3D Chip multiprocessors using Network-in-Memory,” ISCA 2006, Boston, pp. 130 – 141, 2006.
  17. Y. Gidhini, et. al., “Topological impact on latency and throughput: 2D versus 3D NoC comparison,” SBCCI, Brasilia, pp. 1 – 6, August 2012.
  18. J. Kim et al., “A novel dimensionally-decomposed router for on-chip communication in 3D architectures,” in Proc. of International Symposium on Computer Architecture, pp. 138-149, 2007.
  19. B. S. Feero, and P. P. Pande, “Networks-on-Chip in a three-dimensional environment: a performance evaluation,” IEEE Transactions on Computers, vol. 58, pp. 32-45, January 2009.
  20. R. I. Greenberg, and L. Guan, “An improved analytical model for Wormhole routed networks with application to Butterfly fat-trees,” ,” in Proc. of International Conference on Parallel Processing, Bloomington, pp. 44-48, August 1997.
  21. C. Grecu, P. P. Pande, A. Ivanov, R. Saleh, “A scalable communication-centric SoC interconnect architecture,” in Proc. of International Symposium on Quality Electronic Design, pp. 343-348, 2004.
  22. P. Guerrier and A. Greiner, “A generic architecture for on-chip packet- switched interconnections,” in Proc. of Design, Automation and Test in Europe Conference, pp. 250-256, 2000.
  23. J. Kim et al., A gracefully degrading and energy-efficient modular router architecture for on-chip networks,” in Proc. of 33rd International Symposium on Computer Architecture. pp. 4–15, 2006.
  24. R. Mullins, A. West, and S. Moore, “Low-latency virtual-channel routers for on-chip networks,” in Proc. of 31st International Symposium on Computer Architecture. pp. 188-198, 2004.
  25. S. Heo, and K. Asanovic, “Replacing global wires with an on-chip network: a power analysis,” in Proc. of the International Symposium on Low power electronics and design. pp. 369–374, 2005.
  26. R. Kumar, V. Zyuban, and Dean M. Tullsen, “Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling,” in Proc. of the 32nd International Symposium on Computer Architecture. pp. 408–419, 2005.
  27. Li. Shang, Li. Peh, A. Kumar, and N.K. Jha, “Thermal modeling, characterization and management of on-chip networks,” in Proc. of the 37th International Symposium on Microarchitecture. pp. 67-78, 2004
  28. R. Marculescu, “Networks-On-Chip: the quest for on-chip fault-tolerant communication,” in Proc. of the IEEE Computer Society Annual Symposium on VLSI,. pp. 8-12, 2003.
  29. S. Das, A. Chandrakasan, and R. Reif. “Design toolsfor 3-d integrated circuits,” in Proc. of ASP-DAC. pp. 53-56, 2003.
  30. S. Alam, D. Troxel, and C. Thompson, “A comprehensive layout methodology and layout-specific circuit analysis for three- dimensional integrated circuits,” in Proc. of IEEE in Symposium on Quality Electronic Design,pp. 246-251, 2002
  31. A. Rahman, and R. Reif, “Thermal analysis of three-dimensional (3-D) integated circuits (ICs),” in Proc. of IEEE International lnterconnectTehnology Conference. pp. 157-159, 2001
  32. R.V. Joshi, S. Kang, and C. Chuang, “3- D Thermal analysis for SO1 and its impact on circuit performance,” in Proc. of SISPAD.pp. 242-245, 2001.
  33. R. S. Patti, “Three-dimensional integrated circuits and the future of system-on-chip designs,” in Proc. of IEEE. vol. 94, pp. 1214 – 1224, June 2006.
  34. W. R. Davis, et. al., “Demystifying 3D ICs: the pros and cons of going vertical,” in proc. of IEEE Design and Test of Computers. Vol. 22, pp. 498– 510, November 2005.
  35. B. Dang, M.S. Bakir, and J.D. Meindl, “Integrated Thermal-Fluidic I/O Interconnects for an On-Chip Microchannel Heat Sink,” Electron Device Letters, Vol. 27, pp. 117-119, Feb. 2006.
  36. K. Banerjee, S. J. Souri, P. Kapur, and K. C. Saraswat, "3-d ICs: A novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration," in Proc. of IEEE. vol. 89, pp. 602-633, May 2001
  37. R. Weerasekera, D. Pamunuwa, M. Grange, H. Tenhunen, and L.R. Zheng, "Closed-Form Equations for Through-Silicon Via(TSV) Parasitics in 3-D Integrated Circuits," in Proc. of Workshop 3-D Integration, DATE Conference. April 2009.
  38. J. Cong,et. al., “An Automated Design Flow for 3D Microarchitecture Evaluation,” in Proc. of Asia Pacific DAC. pp. 384-389, 2006.
  39. G.L.Loi, et. al., “A Thermally aware performance analysis of vertically integrated (3D) processor memory Hierarchy,” in Proc. of 43rd Design Automation Conf. (DAC). pp. 991-996, 2006.
  40. O.Ozturk, F. Wang, M. Kandemir, and Y.Xie “Optimal topology exploration for application-specific 3d architectures,” inProc. of Asia Pacific DAC. pp. 390-395, 2006.
  41. W.-L. Hung, G.M. Link, Y. Xie, N. Vijaykrishnan, and M. J. Irwin, “Interconnect and thermal-aware floorplanning for 3d microprocessors,” International Symposium on Quality Electronic Design (ISQED). pp. 98-104, 2006
  42. C. Chiang, and S. Sinha, "The Road to 3D EDA Tool Readiness,” Design and Automation Conference. pp.429-436,January 2009.
  43. A. Rahman and R. Reif. "System-level performance evaluation of three-dimensional integrated circuits," IEEE Trans. on VLSI Systems, Special Issue on System-Level Interconnect Prediction. vol. 8, pp. 671-678, 2000.
  44. International Technology Roadmap for Semiconductors http://www.itrs.net [Accessed : 31 May, 2017]
  45. Wadhwani, P., Choudhary, N., & Singh, D. (2013). Energy Efficient Mapping in 3D Mesh Communication Architecture for NoC.Global Journal of Computer Science and Technology, 13(14).
Index Terms

Computer Science
Information Sciences

Keywords

3D ICs Through Silicon Vias Thermal CMP