CFP last date
22 April 2024
Reseach Article

An Improved Frame Level Redundancy Scrubbing Algorithm for SRAM based FPGA

by O. E. Haruna, K. A. Abubilal, A. T. Salawudeen
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 170 - Number 5
Year of Publication: 2017
Authors: O. E. Haruna, K. A. Abubilal, A. T. Salawudeen
10.5120/ijca2017914844

O. E. Haruna, K. A. Abubilal, A. T. Salawudeen . An Improved Frame Level Redundancy Scrubbing Algorithm for SRAM based FPGA. International Journal of Computer Applications. 170, 5 ( Jul 2017), 31-38. DOI=10.5120/ijca2017914844

@article{ 10.5120/ijca2017914844,
author = { O. E. Haruna, K. A. Abubilal, A. T. Salawudeen },
title = { An Improved Frame Level Redundancy Scrubbing Algorithm for SRAM based FPGA },
journal = { International Journal of Computer Applications },
issue_date = { Jul 2017 },
volume = { 170 },
number = { 5 },
month = { Jul },
year = { 2017 },
issn = { 0975-8887 },
pages = { 31-38 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume170/number5/28068-2017914844/ },
doi = { 10.5120/ijca2017914844 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-07T00:17:42.214065+05:30
%A O. E. Haruna
%A K. A. Abubilal
%A A. T. Salawudeen
%T An Improved Frame Level Redundancy Scrubbing Algorithm for SRAM based FPGA
%J International Journal of Computer Applications
%@ 0975-8887
%V 170
%N 5
%P 31-38
%D 2017
%I Foundation of Computer Science (FCS), NY, USA
Abstract

The use of Static Random Access Memory (SRAM) based Field Programmable Gate Array (FPGA) in critical applications has been considered a solution in space and avionics domain due to its flexibility in achieving multiple requirements such as re-programmability and good performance. However, SRAM-based FPGAs are susceptible to radiation induced Single Event Upset (SEU) that affects the functionality of the implemented design. Therefore, an improved Frame Level Redundancy (FLR) algorithm that uses Cyclic Redundancy Check (CRC) as an error detection technique for configuration memory scrubbing, is developed as a solution to mitigate SEU through upset detection and correction. Fault injection was performed on FPGA configuration memory frames on different number of modules to emulate SEU. The improved FLR algorithm was implemented and system level simulation was carried out using MATLAB. The performance of the improved FLR algorithm was compared with that of the existing FLR algorithm using error correction time and energy consumption as metrics. The results of this work showed that the improved FLR algorithm produced 31.6% improvement in error correction time and 61.1% improvement in energy consumption over the existing FLR algorithm.

References
  1. Jorge, T., Kastensmidt, F., & Ricardo, R. (2015). Analyzing the Effectiveness of a Frame-Level Redundancy Scrubbing Technique for SRAM-based FPGAs. IEEE Transactions on Nuclear Science, 62(6), 3080-3087.
  2. Tonfat, J., Fenanda, L. K., Paolo, R., & Ricardo, R. (2015). Energy efficient frame-level redundancy scrubbing technique for SRAM-based FPGAs. IEEE Transactions on Nuclear Science, 62(6), 3080-3087.
  3. Wirthlin, M. (2015). High-Reliability FPGA-Based Systems: Space, High-Energy Physics, and Beyond. Proceedings of the IEEE, 103(3), 379-389.
  4. Berg, M., Poivey, C., Petrick, D., Espinosa, D., Lesea, A., LaBel, K., . . . Phan, A. (2008). Effectiveness of internal vs. external SEU scrubbing mitigation strategies in a Xilinx FPGA: Design, test, and analysis. 1-8.
  5. Reorda, M. S., Sterpone, L., & Violante, M. (2005). Efficient estimation of SEU effects in SRAM-based FPGAs. Paper presented at the 11th IEEE International On-Line Testing Symposium, IOLTS 2005 54-59.
  6. Jing, N., Zhou, J., Jiang, J., Chen, X., He, W., & Mao, Z. (2015). Redundancy based Interconnect Duplication to Mitigate Soft Errors in SRAM-based FPGAs. Paper presented at the Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 764-769.
  7. Tambara, L. A., Tarrillo, J., Kastensmidt, F. L., & Sterpone, L. (2016). Fault-Tolerant Manager Core for Dynamic Partial Reconfiguration in FPGAs FPGAs and Parallel Architectures for Aerospace Applications (pp. 121-133): Springer.
  8. Rao, P., Ebrahimi, M., Seyyedi, R., & Tahoori, M. B. (2014). Protecting SRAM-
  9. Using erasure codes. Paper presented at the IEEE Design Automation Conference (DAC), 2014 51st ACM/EDAC, 1-6.
  10. Graham, P. S., Rollins, N., Wirthlin, M. J., & Caffrey, M. P. (2003). Evaluating TMR Techniques in the Presence of Single Event Upsets. 1-7.
  11. Eftaxiopoulos, N., Axelos, N., & Pekmestzi, K. (2016). Low latency radiation tolerant self-repair reconfigurable SRAM architecture. Microelectronics Reliability, 56, 202-211.
  12. Wirthlin, M. J., Keller, A. M., McCloskey, C., Ridd, P., Lee, D., & Draper, J. (2016). SEU Mitigation and Validation of the LEON3 Soft Processor Using Triple Modular Redundancy for Space Processing. Paper presented at the Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 205-214.
  13. Sanchez, C. A., Entrena, L., & Garcia-Valderas, M. (2015). Partial TMR in FPGAs Using Approximate Logic Circuits. Paper presented at the 2015 15th European Conference on Radiation and Its Effects on Components and Systems (RADECS), Spain, 1-4.
  14. Jonathan, J., Howes, W., Wirthlin, M., McMurtrey, D. L., Caffrey, M., Graham, P., & Morgan, K. (2008). Using duplication with compare for on-line error detection in FPGA-based designs. Paper presented at the Aerospace Conference, 2008 IEEE 1-11.
  15. Lanuzza, M., Zicari, P., Frustaci, F., Perri, S., & Corsonello, P. (2010). Exploiting self-reconfiguration capability to improve SRAM-based FPGA robustness in space and avionics applications. ACM Transactions on Reconfigurable Technology and Systems (TRETS), 4(1), 1-22.
  16. Nazar, G. L., Santos, L. P., & Carro, L. (2013). Accelerated FPGA repair through shifted scrubbing. Paper presented at the 2013 23rd International Conference on Field Programmable Logic and Applications (FPL), 1-6.
  17. Wang, P., Jiang, C., Li, Z., Xue, Q., & Tian, Y. (2014). SEU Mitigation for SRAM Based on Dual Redundancy Check Method. International Journal of Hybrid Information Technology, 7(5), 191-200.
  18. Wirthlin, M., & Harding, A. (2016). Hybrid Configuration Scrubbing for Xilinx 7-Series FPGAs. FPGAs and Parallel Architectures for Aerospace Applications (pp. 91-101): Springer.
  19. Jacobs, A., Cieslewski, G., George, A. D., Gordon-Ross, A., & Lam, H. (2012). Reconfigurable fault tolerance: A comprehensive framework for reliable and adaptive FPGA-based space computing. ACM Transactions on Reconfigurable Technology and Systems (TRETS), 5(4), 1-30.
  20. Harward, N. A., Gardiner, M. R., Hsiao, L. W., & Wirthlin, M. J. (2016). A fault injection system for measuring soft processor design sensitivity on Virtex-5 FPGAs FPGAs and Parallel Architectures for Aerospace Applications (pp. 61-74): Springer.
  21. Akagic, A., & Amano, H. (2012). A study of adaptable co-processors for cyclic redundancy check on an FPGA. Paper presented at the 2012 International Conference on Field-Programmable Technology (FPT), 119-124.
  22. Battezzati, N., Sterpone, L., & Violante, M. (2011). Reconfigurable Field Programmable Gate Arrays for Mission-Critical Applications. New York: Springer.
  23. Akagić, A., & Amano, H. (2011). High speed CRC with 64-bit generator polynomial on an FPGA. ACM SIGARCH Computer Architecture News, 39(4), 72-77.
  24. Tarrillo, J., & Kastensmidt, F. L. (2016). Power Analysis in nMR Systems in SRAM-Based FPGAs. FPGAs and Parallel Architectures for Aerospace Applications, 103-119.
Index Terms

Computer Science
Information Sciences

Keywords

FPGA SRAM Scrubbing FLR SEU configuration memory logic bit(s).