CFP last date
20 May 2024
Reseach Article

Technique for Template Generation for Simultaneous Testing of Multiple Identical Functional Units in Super-scalar Architecture

by Rahul Raj Choudhary, Gayaprasad Sinsinwar, Aditi Kajala, Pooja Bhardwaj
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 34 - Number 8
Year of Publication: 2011
Authors: Rahul Raj Choudhary, Gayaprasad Sinsinwar, Aditi Kajala, Pooja Bhardwaj
10.5120/4122-5843

Rahul Raj Choudhary, Gayaprasad Sinsinwar, Aditi Kajala, Pooja Bhardwaj . Technique for Template Generation for Simultaneous Testing of Multiple Identical Functional Units in Super-scalar Architecture. International Journal of Computer Applications. 34, 8 ( November 2011), 37-41. DOI=10.5120/4122-5843

@article{ 10.5120/4122-5843,
author = { Rahul Raj Choudhary, Gayaprasad Sinsinwar, Aditi Kajala, Pooja Bhardwaj },
title = { Technique for Template Generation for Simultaneous Testing of Multiple Identical Functional Units in Super-scalar Architecture },
journal = { International Journal of Computer Applications },
issue_date = { November 2011 },
volume = { 34 },
number = { 8 },
month = { November },
year = { 2011 },
issn = { 0975-8887 },
pages = { 37-41 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume34/number8/4122-5843/ },
doi = { 10.5120/4122-5843 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T20:20:48.157273+05:30
%A Rahul Raj Choudhary
%A Gayaprasad Sinsinwar
%A Aditi Kajala
%A Pooja Bhardwaj
%T Technique for Template Generation for Simultaneous Testing of Multiple Identical Functional Units in Super-scalar Architecture
%J International Journal of Computer Applications
%@ 0975-8887
%V 34
%N 8
%P 37-41
%D 2011
%I Foundation of Computer Science (FCS), NY, USA
Abstract

At-speed testing has emerged as dominant test requirement in the era of high speed microprocessors. Since the conventional testing techniques prove to be incompetent, Instruction-Based Self-Testing (IBST) has been proposed as an effective alternate to those conventional techniques for at-speed testing of high performance microprocessors. The Superscalar architectures, with vast functionality and exceptionally high speed have become the central integral part of modern high speed digital systems. However, testing superscalar microprocessors using this approach faces serious challenges, due to the out-of-order execution with multiple functional units and in-order commit behaviour. This paper discusses the test program generation procedure (template based) for multiple identical functional units in a superscalar architecture. Procedures for delay fault testing, which make sure that generated test vectors are applied in the correct order to test each testable path, are developed. The preliminary work has been presented in EWDTS[1]

References
  1. Gayaprasad Sinsinwar, Rahul Raj Choudhary, Aditi Kajala, Virendra Singh,“Test Program Generation for Simultaneous Testing of Multiple Identical Functional Units in Super-scalar Architecture”, IEEE East-West Design and Test Symposium (EWDTS) 2010, St. Petersburg, Russia, Sep 2010, pp. 195-199.
  2. Aditi Kajala, Gayaprasad Sinsinwar, Rahul Raj Choudhary, Jaynarayan Tudu, Virendra Singh, “On Selection of State Variables for Delay Test of Identical Functional Units”, IEEE East-West Design and Test Symposium (EWDTS) 2010, St. Petersburg, Russia, Sep 2010, pp. 200-203.
  3. S.M. Thatte and J. Abraham, “Test generation for Microprocessors”, IEEE Trans. on Computers, Vol. C-29, No. 6, June 1980, pp. 429-441.
  4. J. Shen and J.A. Abraham, “Native Mode Functional Test Generation for Processors with Applications to Self-Test and Design Validation”, Proc. of the International Test Conference 1998, pp. 990-999.
  5. K. Batcher and C. Papachristou, “Instruction Randomization Self Test for Processor Cores” Proc. of the VLSI Test Symposium 1999, pp. 34-40.
  6. L. Chen, and S. Dey, “Software-Based Self-Testing Methodology for Processor Cores”, IEEE Trans. on CAD of Integrated Circuits and Systems, Vol. 20, No.3, March 2001, pp. 369-380.
  7. N. Krantis, A. Paschalis, D. Gizopoulos, and Y. Zorian, “Instruction-Based Self-Testing of Processor Cores”, Journal of Electronic Testing: Theory and Application (JETTA) 19, 2003, pp 103-112.
  8. K.Kambe, M.Inoue, and H. Fujiwara, “Efficient Template Generation for Instruction-Based Self-Test of Processor Cores”, Proc. of Asian Test Symposium, 2004, pp. 152-157.
  9. L. Chen, S. Ravi, A. Raghunath, and S. Dey, “A Scalable Software-Based Self-Test Methodology for Programmable Processors”, Proc. of Design Automation Conference 2003, pp. 548-553.
  10. N.Krantis, G.Xenoulis, A.Paschalis, D.Gizopolous, and Y.Zorian, “Application and Analysis of RT-Level Software-Based Self-Testing for Embedded Processor Cores”, Proc. of International Test Conference, 2003, pp 431-440.
  11. Paschalis, and D. Gizopoulos, “ Effective Software-Based Self-Test Strategies for On-Line periodic Testing of Embedded Processors”, Proc. of Design and Test in Europe 2004, pp 578-583.
  12. W.-C. Lai, A. Krstic, and K.-T. Cheng, “On Testing the Path Delay Faults of a Microprocessor Using its Instruction Set”, Proc. of the VLSI Test Symposium 2000, pp. 15-20.
  13. W.-C. Lai, A. Krstic, and K.-T. Cheng, “Test Program Synthesis for Path Delay Faults in Microprocessor Cores”, Proc. of International Test Conference 2000, pp 1080-1089.
  14. W.-C. Lai, and K.-T. Cheng, “Instruction-Level DFT for Testing Processor and IP Cores in System-on-a-Chip”, Proc. of the Design Automation Conference 2001, ACM Press, NY, 2001, pp. 59-64.
  15. V. Singh, M. Inoue, K.K. Saluja, and H. Fujiwara, “Instruction-Based Delay Fault Testing of Processor Cores”, Proc. of the International Conference on VLSI Design 2004, pp 933-938.
  16. V. Singh, M. Inoue, K.K. Saluja, and H. Fujiwara, “Delay Fault Testing of Processor Cores in Functional Mode”, IEICE Trans. on Information & Systems, Vol. E-88D, No. 3, March 2005, pp. 1-9.
  17. V. Singh, M. Inoue, K.K. Saluja, and H. Fujiwara, “Instruction-Based Delay Fault Testing of Pipelined Processor Cores”, Proc. of International Symposium on Circuits and Systems 2005.
  18. V.Singh, M.Inoue, K.K.Saluja, and H.Fujiwara, “Program Based Self-Testing of Superscalar Microprocessors”, Proc. of North Atlantic Test Workshop (NATW), 2005. 19. Krstic and K.-T. Cheng, Delay fault testing for VLSI circuits, Kluwer Academic Publishers, 1998.
  19. M. Hatzimihail et al., A Methodology for Detecting performance Faults in Microprocessor Speculative Execution Units via Hardware Performance Monitoring”, in Proc. of International Test Conference
  20. ITC, 2007.
  21. G. Theodorou et al., “A Software Based Self-Test Methodology for In-System Testing of Processor Cache tag Arrays”, in Proc. of International On-Line Testing Symposium (IOLTS) 2010
  22. Pomeranz and S.M. Reddy, “Selecting State Variables for Improved On-line Testability Through Output Response Comparison of Identical Circuits”, in Proc. of International On-Line Testing Symposium (IOLTS) 2010.
Index Terms

Computer Science
Information Sciences

Keywords

Superscalar Architecture And Test Challenges Pipeline Vs Superscalar Processors