CFP last date
22 April 2024
Reseach Article

Cache Replacement Policies for Improving LLC Performance in Multi-Core Processors

by Muthukumar S, Jawahar P.k
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 105 - Number 8
Year of Publication: 2014
Authors: Muthukumar S, Jawahar P.k
10.5120/18396-9655

Muthukumar S, Jawahar P.k . Cache Replacement Policies for Improving LLC Performance in Multi-Core Processors. International Journal of Computer Applications. 105, 8 ( November 2014), 12-17. DOI=10.5120/18396-9655

@article{ 10.5120/18396-9655,
author = { Muthukumar S, Jawahar P.k },
title = { Cache Replacement Policies for Improving LLC Performance in Multi-Core Processors },
journal = { International Journal of Computer Applications },
issue_date = { November 2014 },
volume = { 105 },
number = { 8 },
month = { November },
year = { 2014 },
issn = { 0975-8887 },
pages = { 12-17 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume105/number8/18396-9655/ },
doi = { 10.5120/18396-9655 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T22:37:09.680082+05:30
%A Muthukumar S
%A Jawahar P.k
%T Cache Replacement Policies for Improving LLC Performance in Multi-Core Processors
%J International Journal of Computer Applications
%@ 0975-8887
%V 105
%N 8
%P 12-17
%D 2014
%I Foundation of Computer Science (FCS), NY, USA
Abstract

Poor cache memory management can have adverse impact on the overall system performance. In a Chip Multi-Core (CMP) scenario, this effect can be enhanced as every core has a private cache apart from a larger shared cache. Replacement policy plays a key role in managing cache data. So it needs to be extremely efficient in order to extract the maximum potential of the cache memory. Over the years versatile set of replacement policies have been proposed and implemented and few of them (LRU, MRU etc) have proven to work well compared to others. However recent works have shown that few counter based replacement strategies have marginally outperformed LRU for certain workloads as LRU does not dynamically adapt to changing workload patterns. This work explores three counter based replacement techniques namely Context-Based Data Pattern Exploitation (CB-DPET), Logical Cache Partitioning Technique (LCP) and Sharing and Hit-Based Prioritizing Technique (SHP). Evaluation is carried out on 4 core and 8 core platforms (apart from 2 core platform which was already done as part of previous works) using PARSEC benchmarks and various performance metrics like throughput speedup, hit rate etc are captured and compared with that of LRU. All the three methods have produced better results on the performance metrics when compared to LRU.

References
  1. Muthukumar S and P K Jawahar, "Cache Replacement for Multi-Threaded Applications Using Context Based Data Pattern Exploitation Technique", Malaysian Journal of Computer Science, Vol 26(4), 2013, p. 277-293.
  2. Muthukumar S and P K Jawahar, "Hit Rate Maximization by Logical Cache Partitioning in a Multi-Core Environment", Journal of Computer Science, 10(3), 2014, p. 492-498.
  3. Muthukumar S and P K Jawahar, "Sharing and Hit Based Prioritizing Replacement Algorithm for Multi-Threaded Applications", International Journal of Computer Applications (IJCA), Vol 90, Issue 12, 2014, p. 34-38.
  4. Muthukumar S and P K Jawahar, "Redundant Cache Data Eviction in a Multi-Core Environment", International Journal of Advances in Engineering and Technology (IJAET), Vol 5, Issue 2, 2013, p. 168-175.
  5. Felipe L. Madruga, Henrique C. Freitas, Philippe O. A. Navaux and P K Jawahar, "Parallel Shared-Memory Workloads Performance on Asymmetric Multi-Core Architectures", 18th Euromicro Conference on Parallel, Distributed and Network-Based Processing, 2010, p. 163-169.
  6. Yingying Tiyan, Samira M. Khan, Daniel A. Jimenez, "Temporal-Based Multilevel Correlating Inclusive Cache Replacement", ACM Transactions on Architecture and Code-Optimization, Vol 10, No 4, Article 33, 2013.
  7. Carole-Jean Wu, Aamer Jaleel, Will Hasenplaugh, Margaret Martonosi, Simon C. Steely Jr. Joel Emer, "SHiP: Signature-based Hit Predictor for High Performance Caching", Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture 2011, p. 430-441.
  8. Viacheslav V. Fedorov, Sheng Qiu, A. L. Narasimha Reddy, "ARI: Adaptive LLC-Memory Traffic Management", ACM Transactions on Architecture and Code-Optimization, Vol 10, No 4, Article 46, 2013.
  9. Aamer Jaleel, Kevin B. Theobald, Simon C. Steely Jr. Joel Emer, "High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP)", Proceedings of the 37th annual International Symposium on Computer Architecture (ISCA), Vol 38, Issue 3, 2010, p. 60-71.
  10. Moinuddin K. Qureshi, Aamer Jaleel, Yale N. Patt, Simon C. Steely Jr. Joel Emer, "Set-Dueling-Controlled Adaptive Insertion For High-Performance Caching", Proceedings of the 37th annual International Symposium on Computer Architecture (ISCA), Vol 28, Issue 1, 2009, p. 91-98.
  11. Aamer Jaleel, William Hasenplaugh, Moinuddin Qureshi, Julien Sebot, Simon Steely, Joel Emer, "Adaptive Insertion Policies for Managing Shared Caches", ACM Parallel Architectures and Compilation Techniques (PACT), Oct. 2013, p. 208-219.
  12. Mazen Kharbutli, Yan Solihin, "Counter Based Cache Replacement and Bypassing Algorithms", IEEE Transactions on Computers, Vol. 57, Issue. 4, April 2008, p. 433-447.
  13. Carole-Jean Wu, Margaret Martonosi, "Adaptive Timekeeping Replacement: Fine-Grained Capacity Management for Shared CMP Caches", ACM Transactions on Architecture and Code Optimization, Vol. 8, No. 1, Article 3, April 2011.
  14. Shekhar Srikantaiah, Mahmut Kandemir, Mary Jane Irwin, "Adaptive Set Pinning: Managing Shared Caches in Chip Multiprocessors", ACM Architectural Support for Programming Languages and Operating Systems (ASPLOS), Vol. 36, Issue. 1, March 2008, p. 135-144.
  15. Konstantinos Nikas. Matthew Horsnell. Jim Garside. 2008. An Adaptive Bloom Filter Cache Partitioning Scheme for Multi-Core Architectures. In Proceedings of the IEEE International Conference on Embedded Computer Systems Architectures Modeling and Simulation, p. 25-32.
  16. Mainak Chaudhuri, Jayesh Gaur, Nithiyanandan Bashyam, Srinivas Subramoney, Joseph Nuzman, "Introducing Hierarchy-Awareness in Replacement and Bypass Algorithms for Last-Level Caches", ACM Parallel Architectures and Compilation Techniques (PACT), Sep. 2012, p. 293-304.
  17. Fazal Hameed. Bauer L. and Henkel J. 2012. Dynamic Cache Management in Multi-Core Architectures through Runtime Adaptation. In Proceedings of Design Automation & Test in Europe Conference & Exhibition (DATE), p. 485-490.
  18. Miao Zhou, Yu Du, Bruce Chilers, Rami Melham, Daniel Mosse, "Writeback-Aware Partitioning and Replacement for Last-Level Caches in Phase Change Main Memory Systems", ACM Transactions on Architecture and Code Optimization, Vol. 8, No. 4, Article 53, Jan. 2012.
  19. N. Binkert et al. "The gem5 simulator", SIGARCH Computer. Architecture New, Vol. 39, Issue. 2, May 2011, p. 1-7.
  20. Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, Kai Li, "The PARSEC Benchmark Suite: Characterization and Architectural Implications", Princeton University Technical Report, TR-811-08, Jan. 2008.
  21. M. Gebhart et al. , "Running PARSEC 2. 1 on M5", University of Texas at Austin, Department of Computer Science, Technical Report, TR-09-32, Oct. 2009.
Index Terms

Computer Science
Information Sciences

Keywords

Cache Counter Throughput Hit Rate Replacement.