CFP last date
22 April 2024
Reseach Article

A Novel Leakage Reduction Technique for Ultra-low Power in VLSI Circuit

by Md. Tauseef, Sudeep Sharma, Rita Jain
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 148 - Number 3
Year of Publication: 2016
Authors: Md. Tauseef, Sudeep Sharma, Rita Jain
10.5120/ijca2016911090

Md. Tauseef, Sudeep Sharma, Rita Jain . A Novel Leakage Reduction Technique for Ultra-low Power in VLSI Circuit. International Journal of Computer Applications. 148, 3 ( Aug 2016), 29-34. DOI=10.5120/ijca2016911090

@article{ 10.5120/ijca2016911090,
author = { Md. Tauseef, Sudeep Sharma, Rita Jain },
title = { A Novel Leakage Reduction Technique for Ultra-low Power in VLSI Circuit },
journal = { International Journal of Computer Applications },
issue_date = { Aug 2016 },
volume = { 148 },
number = { 3 },
month = { Aug },
year = { 2016 },
issn = { 0975-8887 },
pages = { 29-34 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume148/number3/25739-2016911090/ },
doi = { 10.5120/ijca2016911090 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T23:52:21.045357+05:30
%A Md. Tauseef
%A Sudeep Sharma
%A Rita Jain
%T A Novel Leakage Reduction Technique for Ultra-low Power in VLSI Circuit
%J International Journal of Computer Applications
%@ 0975-8887
%V 148
%N 3
%P 29-34
%D 2016
%I Foundation of Computer Science (FCS), NY, USA
Abstract

The modern portable devices demands ultra-low power consumption due to the limited battery size. Major concerns of VLSI designers were high performance with minimal size earlier. The fast growth in portable computing and wireless communication has led to the power dissipation along with heating. The leakage causes static power consumption is exceeding the dynamic power in the sub-nanometer designs. In order to maintain the performance of the chip along with high driving capability at lower supply voltage, the VTH is reduced. However, the Threshold Voltage (VTH) scaling results increase of the Subthreshold Leakage Current (ISUB) as VTH is exponentially proportional to ISUB. Power consumption has become primary design issue and needs suitable power management in the design of digital circuits where switching and standby mode affects the performance of system. In this paper we have calculate the leakage power consumption of conventional gates and proposed leakage reduction techniques over various gates at 45nm and 32nm process technology with supply voltage of 0.9v and 0.8V by using HSPICE simulator at 100MHz frequency.

References
  1. K.Roy and S.C. Prasad, “Low-power CMOS VLSI circuits design”. New York: Wiley, 2000, ch.5, pp.214-219.
  2. Y.Taur, T.H. Ning, “Fundamentals of Modern VLSI Devices”, Cambridge University Press, New York, 1998.
  3. International Technology Roadmap for Semiconductors (ITRS-05).http://www.itrs.netLinks/2005ITRS/Design2005.pdf.
  4. Ali Peiravi, Mohammad Asyaei.” Robust low leakage controlled keeper by current-comparison domino for wide fan-in gates” INTEGRATION, the VLSI Journal 45 (2012), pp 22–32.
  5. K. Roy, S.Mukhopadhyay, H. Mahmoodi-meimand, “Leakage tolerant mechan- isms and leakage reduction techniques in deep-submicron CMOS circuits”, Proceedings of the IEEE 91 (2003), pp. 305–327.
  6. M. Powell, S.-H. Yang, B. Falsafi, K. Roy and T. N. Vijaykumar, “Gated-Vdd: A Circuit Techniqueto Reduce Leakage in Deep submicron Cache Memories,” International Symposium on Low Power Electronics and Design, July 2000, pp. 90-95.
  7. Z. Chen, M. Johnson, L. Wei and K. Roy, “Estimation of Standby Leakage Power in CMOS Circuits Considering Accurate Modeling of Transistor Stacks,” International Symposium on Low Power Electronics and Design, August 1998, pp. 239-244.
  8. Kawaguchi, H., Nose, K., and Sakurai, T. “ A Super Cut-Off CMOS (SCCMOS) Scheme for 0.5-V Supply Voltage with Pico ampere Stand-By Current,” IEEE Journal of Solid State Circuits vol.35,n.10, October 2000, pp.1498-1501.
  9. Se Hun Kim, Vincent J. Mooney III, “Sleepy Keeper: a New Approach to Low-leakage Power VLSI Design”
  10. A. Chandrakasan, I. Yang, C. Vieri, and D. Antoniadis, \Design Considerations and Tools for Low- Voltage Digital System Design," In Proceedings of the 33rd Design Automation Conference, pp. 113{118, 1996}.
  11. J. Kao, A. Chandrakasan, and D. Antoniadis, \Transistor Sizing Issues and Tools for Multi-threshold CMOS Technology," In Proceedings of the 34th Design Automation Conference, pp. 409{414, Las Vegas, Nevada, 1997}.
Index Terms

Computer Science
Information Sciences

Keywords

Low Power Design Leakage reduction Integrated Circuits VLSI.