CFP last date
22 April 2024
Reseach Article

Design and Evaluation of FinFET based SRAM Cells at 22nm and 14nm Node Technologies

by Raju Hajare, C. Lakhminarayana
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 171 - Number 6
Year of Publication: 2017
Authors: Raju Hajare, C. Lakhminarayana
10.5120/ijca2017915005

Raju Hajare, C. Lakhminarayana . Design and Evaluation of FinFET based SRAM Cells at 22nm and 14nm Node Technologies. International Journal of Computer Applications. 171, 6 ( Aug 2017), 26-31. DOI=10.5120/ijca2017915005

@article{ 10.5120/ijca2017915005,
author = { Raju Hajare, C. Lakhminarayana },
title = { Design and Evaluation of FinFET based SRAM Cells at 22nm and 14nm Node Technologies },
journal = { International Journal of Computer Applications },
issue_date = { Aug 2017 },
volume = { 171 },
number = { 6 },
month = { Aug },
year = { 2017 },
issn = { 0975-8887 },
pages = { 26-31 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume171/number6/28186-2017915005/ },
doi = { 10.5120/ijca2017915005 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-07T00:18:44.060663+05:30
%A Raju Hajare
%A C. Lakhminarayana
%T Design and Evaluation of FinFET based SRAM Cells at 22nm and 14nm Node Technologies
%J International Journal of Computer Applications
%@ 0975-8887
%V 171
%N 6
%P 26-31
%D 2017
%I Foundation of Computer Science (FCS), NY, USA
Abstract

In today’s world scenario more than 85-90% of the chip area is mainly occupied by memory. There is a need for faster and reliable memory system for various integrated devices from computers to various handheld devices. The memory devices such as SRAM, DRAM etc. were served by the traditional MOSFETs till to date but as the demand of the better performing and the compact modeling of the integrated devices are causing the failure of MOSFETs operations. The MOSFET scaling is suffered by Short Channel Effects (SCE’s). SRAM is one of the memories mainly used in the cache memory of devices. It must be faster, less power consuming and reliable but this is affected by CMOS scaling causing process variations. Here in this paper the alternate solution to the issues faced by MOSFET based SRAM is overcome by FinFET based SRAM. A 6T short gated FinFET based SRAM is taken for the study and the spice models are created at 22nm and 14nm using Predictive Technology Models (PTM) and simulated using HSPICE. The performance is analyzed in terms of Static Noise Margin (SNM), power and delay for the 6T SRAM. The results shows FinFET based SRAM is faster, reliable and the power consumption is significantly reduced and offers good trade-offs at lower technology nodes.

References
  1. D. J. Frank, R. H. Dennard, E. Nowak, P. M. Solomon, Y. Taur and H.-S . P. Wong, Mar. 2001."Device scaling limits of Si MOSFETs and their application dependencies," Proc. of the IEEE, vol. 89, no. 3, pp. 259-288.
  2. T.-J. King, Nov. 2005. "FinFETs for nanoscale CMOS digital integrated circuits," in Proc. Int. Conf. Computer-Aided Design, pp. 207-210,
  3. T.-C. Chen, Oct. 2006. "Overcoming research challenges for CMOS scaling: Industry directions," in Proc. Int. Conf. on Solid-State and IC Technology, pp. 4-7,
  4. Raju Hajare, C. Lakshminarayana, Cyril Prasannraj, Raghunandan G. H.; Yogesh Hegde, March-2015 ”Performance evaluation of FinFET and Nanowire at different technology nodes” IEEE, Conference - on Emerging Research in Electronics, Computer Science and Technology (ICERECT),Pages114-119:,
  5. J.-P. Colinge, 2008, "The SOI MOSFET: From single gate to multigate," `in FinFETs and Other Multi-Gate Transistors, 1st ed., J.-P. Colinge, Ed., New York, Springer, , pp. 1-48.
  6. D. E. Duarte, N. Vijaykrishnan and M. J. Irwin, Dec. 2002. "A clock power model to evaluate impact of architectural and technology optimizations," IEEE Trans. VLSI Systems, vol. 10, no. 6, pp. 844-855,
  7. W. Zhao and Y. Cao, May 2006, "New generation of predictive technology model for sub-45nm design exploration," in Proc. Int. Symp. Quality of Electronic Design,pp. 585-590, http://www.eas.asu.edu/~ptm.
  8. M.V.Dunga, C.-H. Lin, A. M. Niknejad and C. Hu, 2008 "BSIM-CMG: A compact model for multi-gate transistors," in FinFETs and Other Multi-Gate Transistors,1st ed.,J.-P. Coligne, Ed., New York, Springer, pp. 113-153.
  9. Darsen D. Lu, Chung-Hsun Lin, Ali M. Niknejad and Chenming Hu 2010. "Compact Modeling of Variation in FinFET SRAM Cells" IEEE Design and Test of Computers.
  10. Nirmal, Vijayakumar and Sam Jabaraj (2010), Nand Gate using FINFET for Nano-Scale Technology, In International Journal of Engineering Science and Technology, Vol. 2(5), pp-1351-1358.
  11. Christiensen D.C. Arandilla, Anastacia B. Alvarez, and Christian Raymund K. Roque, 2011. “Static Noise Margin of 6T SRAM Cell in 90-nm CMOS” IEEE UKSim 13th International Conference on Modeling and Simulation, pp534-539,
  12. Cyril prasannraj. Likitha, Dec’ 2013” Performance comparison of CMOS and FINFET based SRAM for 22nm Technology” International Journal of Conceptions on Electronics and Communication Engineering Vol. 1, Issue. 1.
  13. Balwinder Raj, A.K Saxena and S. Dasgupta, 2010.“ NanoscaleFinFET based SRAM cell design: Analysis of Performance metric, Process variation, Underlapped FinFET and temperature effect”, IEEE circuits and systems magazine,
  14. Raju Hajare, C.Lakshminarayana, March-2015”Performance enhancement of FinFET and CNTFET at different node technologies ”Springer, Microsystem technologies,.
  15. Raju Hajare, C.Lakshminarayana, Sunil C. Sumanth; Anish A. R. March-2015,”Design and evaluation of FinFET based digital circuits for high speed IC’s ”IEEE, Conference - on Emerging Research in Electronics, Computer Science and Technology (ICERECT),Pages: 162 – 167.
  16. Ajay Nuggehallibhoj, 2013. “Device-Circuit Co-Design Approaches for Multi-Gate FET Technologies”, Princeton University,
  17. Darsen D. Lu , Chung-Hsun Lin, Ali M. Niknejad and Chenming Hu, June 2014. “Compact Modeling of variation in FinFET SRAM Cells”, University of California, Berkeley.IEEE Design and test of Computers.
  18. Alexei Nazarow, J. P. Colinge et al (2011). Semiconductor-On- Insulator Material for Nanoelectronics. Applications, Springer Heidelberg Dordrecht, London.
  19. Jerry G. Fossem, Vishal P. Trivedi, 2013. “Ultra-Thin-Body MOSFETs and FinFETs”, Cambridge University Press,
  20. Deepa Yagain, Ankit Parakh, AkritiKedia ,Gunjankumar Gupta 2011,“Design and implementation of High speed, Low area Multiportedloadless 4T Memory Cell” IEEE Fourth International Conference on Emerging Trends in Engineering & Technology,.
  21. Debajit Bhattacharya and Niraj K. Jha, September 2014. “FinFETs: From Devices to Architectures Princeton University, Princeton, NJ08544,USA.
Index Terms

Computer Science
Information Sciences

Keywords

SRAM Cell FinFET CMOS SNM PTM Read delay Write delay