CFP last date
20 May 2024
Reseach Article

Impact of Negative Bias Temperature Instability on 6T CMOS SRAM Cell Performance

by Pallvi Rani, Gurmohan Singh, Manjit Kaur
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 128 - Number 12
Year of Publication: 2015
Authors: Pallvi Rani, Gurmohan Singh, Manjit Kaur
10.5120/ijca2015906678

Pallvi Rani, Gurmohan Singh, Manjit Kaur . Impact of Negative Bias Temperature Instability on 6T CMOS SRAM Cell Performance. International Journal of Computer Applications. 128, 12 ( October 2015), 1-6. DOI=10.5120/ijca2015906678

@article{ 10.5120/ijca2015906678,
author = { Pallvi Rani, Gurmohan Singh, Manjit Kaur },
title = { Impact of Negative Bias Temperature Instability on 6T CMOS SRAM Cell Performance },
journal = { International Journal of Computer Applications },
issue_date = { October 2015 },
volume = { 128 },
number = { 12 },
month = { October },
year = { 2015 },
issn = { 0975-8887 },
pages = { 1-6 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume128/number12/22922-2015906678/ },
doi = { 10.5120/ijca2015906678 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T23:21:42.151759+05:30
%A Pallvi Rani
%A Gurmohan Singh
%A Manjit Kaur
%T Impact of Negative Bias Temperature Instability on 6T CMOS SRAM Cell Performance
%J International Journal of Computer Applications
%@ 0975-8887
%V 128
%N 12
%P 1-6
%D 2015
%I Foundation of Computer Science (FCS), NY, USA
Abstract

This paper presents the effect of negative bias temperature instability (NBTI) on a 6T CMOS SRAM cell and a technique to correct the NBTI induced error. The effect of NBTI on the generation of interface traps and Ids-Vgs characteristics is analyzed. The degradation of static noise margin and PMOS transistor’s Vth with increase in simulation time is analyzed in SRAM cell. Threshold voltage degradation is simulated at two different technologies and it is found that NBTI degradation is prominent in lower technology nodes. As memories occupy the maximum area on a chip, thus, more robust SRAM design is required for high reliability of SRAM cell. MOSFET reliability analysis (MOSRA) model is used to simulate the effects of Bias Temperature Instability and hot carrier injection. Error introduced because of NBTI is corrected using a bit flipping technique.

References
  1. A. Haggag, G. Anderson, S. Parihar, D. Burnett, et al., “Understanding SRAM High-Temperature- Operating-Life NBTI: Statistics and Permanent Vs Recoverable Damage”, in Proc. IEEE International Reliability Physics Symposium, pp. 452-456, Apr. 2007.
  2. A. Carlson, “Mechanism of Increase in SRAM Vmin Due to Negative-Bias Temperature Instability”, IEEE Trans. on Device and Materials Reliability, vol. 7, no. 7, pp. 473-478, 2007.
  3. R. Kapre, K. Shakeri, H. Puchner, J. Tandigan, et al., “SRAM Variability and Supply Voltage Scaling Challenges”, in Proc. IEEE International ReliabilityPhysics Symposium, pp. 23-28, Apr. 2007.
  4. T. Kim, W. Zhang, and C. Kim, “An SRAM Reliability Test Macro for Fully-Automated Statistical Measurements of Vmin Degradation”, in Proc. IEEE Custom Integrated Circuits Conference, pp. 231-234, Sept. 2009
  5. Hong Luo, Yu Wang, Ku He, RongLuo, Huazhong Yang, Yuan Xie, “Modeling of PMOS NBTI Effect Considering Temperature Variation”, in Proc. 8th International Symposium on  Quality Electronic Design, pp.139,144, 26-28 March 2007.
  6. Mostafa H., Anis M., Elmasry M., “Adaptive Body Bias for reducing the impacts of NBTI and process variations on 6T SRAM cells”, IEEE Trans. Circuits and Systems I: Regular Papers, vol.58, no.12, pp.2859-2871, December 2011
  7. K. K. Kim, W. Wang, and K. Choi, “On-chip aging sensor circuits for reliable nanometer MOSFET digital circuits” ,IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 10, pp. 798–802, Oct. 2010
  8. R. A. B. Devine, J.-L., Autran W. L., Warren K. L., Vanheusdan and J.-C. Rostaing, “Interfacial hardness enhancement in deuterium annealed 0.25μm n-channel metal oxide semiconductor transistors”, Applied Physics Letter, vol. 70, no. 22, pp. 2999-3001, June 1997.
  9. Sudheer Padala “New Model for Simulating Impact of Negative Bias Temperature Instability (NBTI) in CMOS Circuits”, Ph.D. dissertation, Arizona State University December 2014.
  10. Alam M.A., “A critical examination of the mechanics of dynamic NBTI for pMOSFETs,” IEDM Tech Dig, pp. 346–9, 2003.
  11. Md Ismail S., Hossain I., Hossain M.S., Arafat Y., “A faster approach to periodic data flipping of SRAM array for NBTI recovery”, in Proc. 16th International Conference on  Computer and Information Technology (ICCIT), pp.448,454, 8-10 March 2014
  12. B. Raj, A. K. Saxena and S. Dasgupta, “Nanoscale FinFET Based SRAM Cell Design: Analysis of Performance metric, Process variation, Underlapped FinFET and Temperature effect” IEEE Circuits and System Magazine, vol. 11, issue 2, pp. 38- 50, 2011.
  13. Balwant Raj, Anita Suman, Gurmohan Singh, “Analysis of Power Dissipation in DRAM Cells Design for Nanoscale Memories”, International Journal of Information Technology & Knowledge Management, July-December 2009, Volume-2,No. 2,pp. 371-374.
  14. Karishma Bajaj, Manjit Kaur, Gurmohan Singh,” Design and Analysis of Hybrid CMOS SRAM Sense Amplifier, International Journal of Electronics and Computer Science Engineering, Volume-1, Number-2, pp. 718-726, 2012.
  15. MOS Device Aging Analysis with HSPICE and CustomSim,availableathyperlink:https://www.synopsys.com/Tools/Verification/AMSVerification/CircuitSimulation/HSPICE/Documents/mosra-wp.pdf.
Index Terms

Computer Science
Information Sciences

Keywords

Negative Bias Temperature Instability (NBTI) Static Noise Margin (SNM) SRAM CMOS MOSFET.