CFP last date
20 May 2024
Reseach Article

Performance Optimization of Nonlinear VLSI Interconnect Circuit using Schmitt Trigger

by D. Venkatavara Prasad, Suresh Jaganathan
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 173 - Number 4
Year of Publication: 2017
Authors: D. Venkatavara Prasad, Suresh Jaganathan
10.5120/ijca2017915284

D. Venkatavara Prasad, Suresh Jaganathan . Performance Optimization of Nonlinear VLSI Interconnect Circuit using Schmitt Trigger. International Journal of Computer Applications. 173, 4 ( Sep 2017), 14-17. DOI=10.5120/ijca2017915284

@article{ 10.5120/ijca2017915284,
author = { D. Venkatavara Prasad, Suresh Jaganathan },
title = { Performance Optimization of Nonlinear VLSI Interconnect Circuit using Schmitt Trigger },
journal = { International Journal of Computer Applications },
issue_date = { Sep 2017 },
volume = { 173 },
number = { 4 },
month = { Sep },
year = { 2017 },
issn = { 0975-8887 },
pages = { 14-17 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume173/number4/28322-2017915284/ },
doi = { 10.5120/ijca2017915284 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-07T00:20:55.977835+05:30
%A D. Venkatavara Prasad
%A Suresh Jaganathan
%T Performance Optimization of Nonlinear VLSI Interconnect Circuit using Schmitt Trigger
%J International Journal of Computer Applications
%@ 0975-8887
%V 173
%N 4
%P 14-17
%D 2017
%I Foundation of Computer Science (FCS), NY, USA
Abstract

Chip Interconnect delay and power is a primary criterion in the design of an Integrated Circuit because of its close connection to the speed of IC. Interconnect Buffers in VLSI circuits is the most widespread procedure used to decrease power and delay but they outcome in high Delay and power dissipation, thereby degrading the performance (i.e.) operating speed of an integrated circuit. Use of buffers within interconnect is mostly for optimizing power dissipation and delay in interconnect, but Buffers themselves possess switching time that assists to crosstalk delay and power dissipation. For effectively minimizing both delay and power dissipation in long interconnects is done via replacing buffers with Schmitt Trigger in the Nonlinear Interconnect. since Schmitt trigger have reduced threshold voltage, Schmitt trigger permits the reduction inrising time and therefore saves in periods of total delay. The proposed Schmitt trigger has a larger band gap, so it decreases the noise compare to that buffer.

References
  1. Sandeep Saini, A. Mahesh Kumar, Sreehari Veeramachaneni. 2010. An Alternative approach to Buffer Insertion for Delay and Power Reduction in VLSI Interconnects. 23rd International Conference on VLSI Design. pp. 411-416.
  2. Y.I. Ismail and E.G. Friedman. 1999. Effects of inductance on the propagation delay and repeater insertion in VLSI circuits. Proceedings of the Conference on Design Automation, New Orleans, Louisiana, pp. 721-724.
  3. P. Saxena and N. Menezes and P. Cocchini and D.A. Kirkpatrick. 2004. Repeater scaling and its impact on CAD”. IEEE Transactions on Computer-Aided Design. 23(4), pp. 451-463.
  4. H. Zhou, D. F. Wong, I. M. Liu, and A. Aziz. 2000. Simultaneous routing and buffer insertion with restrictions on buffer locations. IEEE Trans. on Computer Aided Design of Integrated Circuits and Systems. 19(7), pp. 819-824.
  5. C. C. N. Chu and D. F. Wong. 1999. A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizing. IEEE Trans. on Computer Aided Design of Integrated Circuits and Systems. 18(6), pp. 787-798.
  6. J. Lillis, C. K. Cheng and T.-T. Y. Lin. 1996. Optimal wire sizing and buffer insertion for low power and a generalized delay model. IEEE Trans. Solid-State Circuits. 31(3), pp. 437-447.
  7. C. J. Alpert and A. Devgan. 1996. Wire segmenting for improved buffer insertion. Proceedings of ACM/IEEE Design Automation Conference. pp. 588-593.
  8. H. B. Bakoglu and J. D. Meindl. 1985. Optimal Interconnection Circuits for VLSI. IEEE Transactions on Electron Devices. 32(5). pp. 903-909.
  9. S. Yu et al. 2006. Loop-Based Inductance Extraction and Modeling for Multiconductor On-Chip Interconnects. IEEE Transactions on Electron Devices. 53(1), pp.135-145.
  10. T. Dhaene and D. D. Zutter. 1992. Selection of Lumped Element Models for Coupled Lossy Transmission Lines. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 11(7), pp. 805-815.
  11. M. A. El-Moursy and E. G. Friedman.2003. Optimum Wire Shaping of an RLC Interconnect. Proceedings of the IEEE Midwest Symposium on Circuits and Systems.
  12. Sherwani, N.A. 1995. Algorithms for Physical Design Automation. 2nd Edition. Kluwer Academic Publishers Norwell, MA.USA. ISBN: 0792395921.
Index Terms

Computer Science
Information Sciences

Keywords

VLSI Schmitt Trigger DSM