CFP last date
20 May 2024
Reseach Article

A Comparative Study of Interconnect Circuit Techniques for Energy Efficient On-Chip Interconnects

by C.venkataiah, M. Tejaswi
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 109 - Number 4
Year of Publication: 2015
Authors: C.venkataiah, M. Tejaswi
10.5120/19180-0658

C.venkataiah, M. Tejaswi . A Comparative Study of Interconnect Circuit Techniques for Energy Efficient On-Chip Interconnects. International Journal of Computer Applications. 109, 4 ( January 2015), 33-38. DOI=10.5120/19180-0658

@article{ 10.5120/19180-0658,
author = { C.venkataiah, M. Tejaswi },
title = { A Comparative Study of Interconnect Circuit Techniques for Energy Efficient On-Chip Interconnects },
journal = { International Journal of Computer Applications },
issue_date = { January 2015 },
volume = { 109 },
number = { 4 },
month = { January },
year = { 2015 },
issn = { 0975-8887 },
pages = { 33-38 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume109/number4/19180-0658/ },
doi = { 10.5120/19180-0658 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T22:43:55.571484+05:30
%A C.venkataiah
%A M. Tejaswi
%T A Comparative Study of Interconnect Circuit Techniques for Energy Efficient On-Chip Interconnects
%J International Journal of Computer Applications
%@ 0975-8887
%V 109
%N 4
%P 33-38
%D 2015
%I Foundation of Computer Science (FCS), NY, USA
Abstract

The aggressive technology scaling in VLSI leads to decrease the size of chip. Such continual miniaturization of VLSI devices has strong impact on interconnects in several ways. Interconnects in high speed applications suffer from crosstalk, signal delay and ground noise, causing degradation of system performance. Thus interconnects are becoming a limiting factor in determining circuit performance. This paper presents a comparative study on different interconnect circuit techniques for on chip interconnects. We have compared different circuit structure by placing on RC and RLC interconnects. In this delay benefit for current sensing increases with an increase in wire width. Unlike repeaters, current sensing does not require placement of buffers along the wire and it eliminates any placement constraints. Out of all these techniques a differential RLC current mode signaling circuit insertion has offered the less amount of energy. All the circuits are simulated and compared different parameters such as power, delay and energy by using micro wind in 45nm technology.

References
  1. International Technology Roadmap for Semiconductors [Online]. Available: http://public. itrs. net
  2. Y. I. Ismail, E. G. Friedman, and J. L. Neves, "Figures of merit to characterize the importance of on-chip inductance," in Proc. IEEE/ACM Design Automation Conf. , 1998, pp. 560–565.
  3. S. V. Morton, "On-chip inductance issues in multi-conductor systems," in Proc. IEEE Design Automation Conf. , 1999, pp. 921–926.
  4. M. A. El-Moursy and E. G. Friedman, "Optimum wire sizing of RLC interconnect with repeaters," in Proc. ACM Great Lakes Symp. VLSI, 2003, pp. 27–32.
  5. J. Davis, R. Venkatesan, A. Kaloyeros, M. Ceylansky, S. Souri, K. Banerjee, K. Saraswat, A. Rahman, R. Reif, and J. Meindl, "Interconnect limits on Gigascale Integration (GSI) in the 21st century," Proc. IEEE, vol. 89, no. 3, pp. 305–324, 2001.
  6. M. Bohr, "Interconnect scaling—the real limiter to high performance ULSI," in Int. Electron Device Meeting, Tech. Dig. , 1995, pp. 241–244.
  7. Y. Ismail and E. Friedman, "Effects of inductance on the propagation delay and repeater insertion in VLSI Circuits. Rochester, New York 14627
  8. A. Maheshwari and W. Burleson, "Differential current-sensing for on-chip interconnects," IEEE Trans. Very Large Scale Integration (VLSI)Syst. , vol. 12, no. 12, pp. 1321–1329, Dec. 2004.
  9. H. B. Bakoglu, Circuits, Interconnections and Packaging for VLSI. Reading, MA: Addison-Wesley, 1990.
  10. V. Adler and E. Friedman, "Repeater design to reduce delay and power in resistive interconnect," IEEE Trans. Circuits Syst. II, pp. 607–616, May 1998.
  11. A. Nalamalpu and W. Burleson, "Repeater insertion in deep sub-micron CMOS: ramp-based analytical model and placement sensitivity analysis," in Proc. IEEE Int. Symp. Circuits Syst. , 2000, pp. 766–769.
  12. A. Nalamalpu, S. Srinivasan, and W. Burleson, "Boosters for driving long on-chip interconnects: design issues, interconnect synthesis and comparison with repeaters," IEEE Trans. Computer-Aided Design, vol. 21, pp. 50–62, Jan. 2002.
  13. T. Iima, M. Mizuno, T. Horiuchi, and M. Yamashina, "Capacitance coupling immune, transient sensitive accelerator for resistive interconnect signals of subquarter micron ULSI," IEEE Trans. Electron Devices, vol. 79, pp. 942–947, July 1996.
  14. A. Maheshwariand W. Burleson, "Current-sensing techniques for global interconnects in Very Deep Submicron (VDSM) CMOS," in Proc. IEEE Computer Society Workshop VLSI, 2001, pp. 66–70.
  15. R. Bashirullah, W. Liu, and R. Cavin III, "Delay and power model for current-mode signaling in deep submicron global interconnects," in Proc. Custom Integrated Circuit Conf. , 2002, pp. 513–516.
  16. G. Moon, M. Zaghloul, and R. Newcomb, "An enhancement-mode MOS voltage-controlled linear resistor with large dynamic range," IEEE Trans. Circuits Syst. , vol. 37, no. 10, pp. 1284–1288, Oct. 1990
  17. T. Wang and F. Yuan, "A new current-mode incremental signaling scheme with applications to Gb/s parallel links," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 54, no. 2, pp. 255–267, Feb. 2007.
  18. A. Katoch, E. Seevinck, and H. Veendrick, "Fast signal propagation for point to point on-chip long interconnects using current sensing," in Proc. 28th Eur. Solid-State Circuits Conf. , 2002, pp. 195–198.
  19. R. Bashirullah, W. Liu, and R. Cavin, "Current-mode signaling in deep submicrometer global interconnects," IEEE Trans. Very Large ScaleIntegration (VLSI) Syst. , vol. 11, no. 3, pp. 406–417, Jun. 2003.
  20. A. Irfansyah, T. Lehmann, and S. Nooshabadi, "Energy delay optimization methodology for current-mode signaling for on-chip interconnects," in Proc. Integration Circuit Des. Tech. Conf. , 2008, pp. 147–150.
  21. H. Zhang,V. George, and J. Rabaey, "Low-swing on-chip signaling techniques: effectiveness and robustness," IEEE Trans. VLSI Syst. , vol. 8, pp. 264–272, June 2000. VLSI circuits," IEEE Trans. VLSI Systemspp. 195–206, Apr. 2000.
  22. Sampotuuna,Ethiopia Nigussie,JouniIsoaho and Hannu Tenhunen. "Modeling of Energy Dissipation in RLC current Mode Signaling" IEEE Trans. VLSI Systems vol. 20, no. 6, june. 2012.
Index Terms

Computer Science
Information Sciences

Keywords

Interconnect repeaters wire Current mode differential signaling clamped bit line Sense Amplifier energy dissipation.