CFP last date
20 May 2024
Reseach Article

Power Analysis and Implementation of the 8 - bit Toggle Clock Gated ALU

by Vandana Prajapati, Uday Panwar
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 143 - Number 8
Year of Publication: 2016
Authors: Vandana Prajapati, Uday Panwar
10.5120/ijca2016910202

Vandana Prajapati, Uday Panwar . Power Analysis and Implementation of the 8 - bit Toggle Clock Gated ALU. International Journal of Computer Applications. 143, 8 ( Jun 2016), 23-27. DOI=10.5120/ijca2016910202

@article{ 10.5120/ijca2016910202,
author = { Vandana Prajapati, Uday Panwar },
title = { Power Analysis and Implementation of the 8 - bit Toggle Clock Gated ALU },
journal = { International Journal of Computer Applications },
issue_date = { Jun 2016 },
volume = { 143 },
number = { 8 },
month = { Jun },
year = { 2016 },
issn = { 0975-8887 },
pages = { 23-27 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume143/number8/25098-2016910202/ },
doi = { 10.5120/ijca2016910202 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T23:45:49.045372+05:30
%A Vandana Prajapati
%A Uday Panwar
%T Power Analysis and Implementation of the 8 - bit Toggle Clock Gated ALU
%J International Journal of Computer Applications
%@ 0975-8887
%V 143
%N 8
%P 23-27
%D 2016
%I Foundation of Computer Science (FCS), NY, USA
Abstract

Power dissipation is major drawback in the digital sequential circuit design of low power electronic devices. Clock signal is one input which is common for all the sequential circuits. The clock signal has major power dissipation at high frequencies. The clock gating technique can be implemented at architectural level to reduce the power dissipation at dynamic and clock power level. Aim of this paper is to analyze, implement and comparison between various clock gating techniques for a 8-bit ALU on a artix7,45 nm technology with xc7a100t-3csg324 , xc6slx41-1Ltqg144 spartan6 with 40nm FPGA board. The two clock gating techniques are proposed and used in the design are namely: T-flip flop and use of latch. This technique is implemented by using Xilinx 14.1. T flip flop is best for this design as it requires less number of gate counts and also less area. Operation using11 instructions are performed in the proposed design. This technique is designed through T Flip-Flop based on gated clock ALU at RTL level. At different operating frequencies of 100MHZ, 200MHZ, 300MHZ, 400MHZ & 500MHZ, the dissipated power is 5mw, 9mw, 14mw, 19mw,24mw respectively.

References
  1. Mahendra pratap , Deepak baghel “clock gated low power sequential ckt.design,” proceeding of 2013IEEE conference on information and communication technologies(ICT2013)
  2. Padmini g.kaushik, sanjay m. gulhane, athar ravish khan, “Dynamicpower reuction of digital circuits by clock gating”, ijict.org, vol.4 no. 1march 2013.
  3. Mohamed o shanker, Magdy A Bayoumi, “clock Gated FF for low powerapplication in 90nm cmos,” IEEE Trans Circuits Syst.
  4. Shmuel wimer and Israel koren, “Design flow for ff gouping in data – driven clock gating” IEEE Trans. On vlsi, 1063-8210, 2012.
  5. L. Benini, A. Bogliolo, and G. De Micheli, “A survey on design techniques for system-level dynamic power management,” IEEE Trans.
  6. Very Large Scale Integr. (VLSI) Syst., vol. 8, no. 3, pp. 299–316, Jun. 2000.
  7. M. S. Hosny and W. Yuejian, “Low power clocking strategies in deep submicron technologies,” in Proc. IEEE Intll. Conf. Integr. Circuit Design Technol., Jun. 2008, pp.143–146
  8. C. Chunhong, K. Changjun, and S. Majid, “Activity-sensitive clock tree construction for low power,” in Proc. Int. Symp. Low Power Electron. Design, 2002, pp. 279–282.
  9. A. Farrahi, C. Chen, A. Srivastava, G. Tellez, and M. Sarrafzadeh,“Activity-driven clock design,” IEEE Trans. Comput.Aided Design Integr. Circuits Syst., vol. 20, no. 6, pp. 705– 714, Jun. 2001
  10. W. Shen, Y. Cai, X. Hong, and J. Hu, “Activity and register placement aware gated clock network design,” in Proc. Int. Symp. Phys. Design, 2008, pp. 182–189.
  11. M. Donno, E. Macii, and L. Mazzoni, “Power-aware clock tree planning,”in Proc. Int. Symp. Phys. Design, 2004, pp. 138–147.
  12. SpyGlasPower[Online].Available: http://www.atrenta.com/solutions/spyglass family/spyglasspower.htm
  13. S. Wimer and I. Koren, “The Optimal fan-out of clock network for power minimization by adaptive gating,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 20, no. 10, pp. 1772–1780, Oct. 2012.
  14. Y.-T. Chang, C.-C. Hsu, M. P.-H. Lin, Y.-W. Tsai, and S.-F. Chen,“Postplacement power optimization with multi-bit flip-flops,” in Proc. IEEE/ACM Int. Conf. Comput., Aided Design, Nov. 2010, pp. 218–223.
  15. I. H.-R. Jiang, C.-L. Chang, Y.-M. Yang, E. Y.-W. Tsai, and L. S.-F. Cheng, “INTEGRA: Fast multi-bit flip-flop clustering for clock power saving based on interval graphs,” in Proc. Int. Symp. Phys. Design, 2011, pp. 115–121.
  16. N. Magen, A. Kolodny, U. Weiser, and N.Shamir, “Interconnect-power dissipation in amicroprocessor,” in Proc. Int. Workshop Syst.Level Int. Predict., 2004, pp. 7–13.
Index Terms

Computer Science
Information Sciences

Keywords

Sequential circuit T-FF Clock- Gating Implementation Instruction Gated ALU