CFP last date
20 May 2024
Reseach Article

Analysis of Propagation Delay Deviation under Process Induced Threshold Voltage Variation

by K.G. Verma, Brajesh Kumar Kaushik, Raghuvir Singh
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 17 - Number 5
Year of Publication: 2011
Authors: K.G. Verma, Brajesh Kumar Kaushik, Raghuvir Singh
10.5120/2216-2823

K.G. Verma, Brajesh Kumar Kaushik, Raghuvir Singh . Analysis of Propagation Delay Deviation under Process Induced Threshold Voltage Variation. International Journal of Computer Applications. 17, 5 ( March 2011), 20-25. DOI=10.5120/2216-2823

@article{ 10.5120/2216-2823,
author = { K.G. Verma, Brajesh Kumar Kaushik, Raghuvir Singh },
title = { Analysis of Propagation Delay Deviation under Process Induced Threshold Voltage Variation },
journal = { International Journal of Computer Applications },
issue_date = { March 2011 },
volume = { 17 },
number = { 5 },
month = { March },
year = { 2011 },
issn = { 0975-8887 },
pages = { 20-25 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume17/number5/2216-2823/ },
doi = { 10.5120/2216-2823 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T20:04:48.581938+05:30
%A K.G. Verma
%A Brajesh Kumar Kaushik
%A Raghuvir Singh
%T Analysis of Propagation Delay Deviation under Process Induced Threshold Voltage Variation
%J International Journal of Computer Applications
%@ 0975-8887
%V 17
%N 5
%P 20-25
%D 2011
%I Foundation of Computer Science (FCS), NY, USA
Abstract

Process variation has become a major concern in the design of many nanometer circuits, including interconnect pipelines. The primary sources of manufacturing variation include Deposition, Chemical Mechanical Planarization (CMP), Etching, Resolution Enhancement Technology (RET). Process variations manifest themselves as the uncertainties of circuit performance, such as delay, noise and power consumption. The performance of VLSI/ULSI chip is becoming less predictable as device dimensions shrinks below the sub-100-nm scale. The reduced predictability can be attributed to poor control of the physical features of devices and interconnects during the manufacturing process. Variations in these quantities maps to variations in the electrical behavior of circuits. Threshold voltage of a MOSFET varies due to changes in oxide thickness; substrate, polysilicon and implant impurity level; and surface charge. This paper provides a comprehensive analysis of the effect of threshold variation on the propagation delay through driver-interconnect-load (DIL) system. The impact of process induced threshold variations on circuit delay is discussed for three different technologies i.e 130nm, 70nm and 45nm. The comparison of results between these three technologies shows that as device size shrinks, the process variation issues becomes dominant during design cycle and subsequently increases the uncertainty of the delays.

References
  1. Sai-Halasz G.A., “Performance trends in high-end processors”, Proceed. of IEEE, vol.83, issue 1, pp. 20-36, Jan.1995.
  2. Sylvester D. and Wu C., “Analytical modeling and characterization of deep-submicrometer interconnect,” Proceed. of IEEE, vol.89, issue 5, pp. 634-664, May 2001.
  3. Kaushik B.K. and Sarkar S., “Crosstalk Analysis for a CMOS-Gate-Driven Coupled Interconnects” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 6, pp. 1150-1154, June, 2008.
  4. Orshansky, M. Milor, L., Chen, P., Keutzer K., and Hu, C., (2000) “Impact of systematic spatial intra-chip gate length variability on performance of high-speed digital circuits,” ICCAD 2000, pp.62–67.
  5. Liu, Y., Nassif, S. R., Pileggi L. T. and Strojwas, A. J. (2000) “Impact of interconnect variations on the clock skew of a gigahertz microprocessor,” DAC 2000, pp. 168–171.
  6. Mehrotra, V., Sam, S., Boning, L. D., Chandrakasan, A., Vallishayee, R. and Nassif, S. (2000) “A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance,” DAC 2000, pp. 172–175.
  7. Malavasi, E., Zanella, S., Min J. Uschersohn, C., Misheloff M. and Guardiani, C. (2002) “Impact analysis of process variability on clock skew,” ISQED 2002, pp. 129–132.
  8. Brawhear, R. B., Menezes, N., Oh, C., Pillage, L. T., and Mercer, M. R., (1994) “Predicting circuit performance using circuit-level statistical timing analysis,” DATE 1994, pp. 332–337.
  9. Chang, H., and Sapatnekar, S. S., (2003) “Statistical timing analysis considering spatial correlations using a single PERT-like traversal,” ICCAD 2003, pp. 621–625.
  10. Agarwal, A., Blaauw, D., and Zolotov, V., (2003) “Statistical timing analysis for intra-die process variations with spatial correlations,” ICCAD 2003, pp. 271–276.
  11. Acar, E., Nassif, S. N., Ying, L., and Pileggi, L. T., (2001) “Assessment of true worst case circuit performance under interconnect parameter variations,” ISQED 2001, pp. 431–436.
  12. Borkar, S., Kamik, T., Narendra, S., Tschanz, J., Keshavarzi, A., and De, V., (2003) “Parameter variations and impact on circuits and microarchitecture,” DAC 2003, pp. 338–342.
  13. Gattiker, A., Nassif, S., Dinakar, R., and Long, C. (2001) “Timing yield estimation from static timing analysis,” ISQED 2001, pp. 437–442.
  14. Luong, G. M., and Walker, D. M. H., “Test generation for global delay faults,” ITC 1996, pp. 433–442.
  15. Liou, J. J., Krstic, A., Wang, L. C., and Cheng, K. T., (2002) “False path-aware statistical timing analysis and efficient path selection for delay testing and timing validation,” DAC 2002, pp. 566–569.
  16. Krstic, A., Wang, L. C., Cheng, K. T., and Liou, J. J., (2003) “Diagnosis of delay defects using statistical timing models,” VTS 2003, pp. 339–344.
  17. Lu, X., Li, Z., Qiu, W., Walker, D. M. H., and Shi, W. (2004) “Longest path selection for delay test under process variation,” ASP-DAC 2004, pp 99-103.
  18. Fabbro, A.D., Franzini, B., Croce, L., and Guardiani, C., (1995) “An assigned probability technique to derive realistic worst-case timing models of digital standard cells,” DAC 1995, pp. 702–706.
  19. Vrudhula, S., Wang, J. M., and Ghanta, P., (2006) “Hermite Polynomial Based Interconnect Analysis in the Presence of Process Variations” IEEE Trans. on CAD of Integrated Circuits And Systems, vol. 25, No. 10, October 2006, pp. 2001-2011.
  20. Krishan Gopal Verma, Brajesh Kumar Kaushik and Raghuvir Singh, “Propagation Delay Variation due to Process Induced Threshold Voltage Variation” Communications in Computer and Information Science, 1, Information and Communication Technologies-2010, Springer, vol.101, Part 3, pp. 520-524.
Index Terms

Computer Science
Information Sciences

Keywords

Process variation interconnects VLSI systematic variation propagation delay