CFP last date
22 April 2024
Call for Paper
May Edition
IJCA solicits high quality original research papers for the upcoming May edition of the journal. The last date of research paper submission is 22 April 2024

Submit your paper
Know more
Reseach Article

A Survey on Existing MPSOCs Architectures

by Med Aymen SIALA, Slim BEN SAOUD
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 19 - Number 3
Year of Publication: 2011
Authors: Med Aymen SIALA, Slim BEN SAOUD
10.5120/2341-3053

Med Aymen SIALA, Slim BEN SAOUD . A Survey on Existing MPSOCs Architectures. International Journal of Computer Applications. 19, 3 ( April 2011), 28-41. DOI=10.5120/2341-3053

@article{ 10.5120/2341-3053,
author = { Med Aymen SIALA, Slim BEN SAOUD },
title = { A Survey on Existing MPSOCs Architectures },
journal = { International Journal of Computer Applications },
issue_date = { April 2011 },
volume = { 19 },
number = { 3 },
month = { April },
year = { 2011 },
issn = { 0975-8887 },
pages = { 28-41 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume19/number3/2341-3053/ },
doi = { 10.5120/2341-3053 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T20:06:02.421354+05:30
%A Med Aymen SIALA
%A Slim BEN SAOUD
%T A Survey on Existing MPSOCs Architectures
%J International Journal of Computer Applications
%@ 0975-8887
%V 19
%N 3
%P 28-41
%D 2011
%I Foundation of Computer Science (FCS), NY, USA
Abstract

The majority of recent embedded systems are based on MPSOCs (Multi Processors System On Chip) architectures. This is explained by the possibilities that offers this kind of architectures, as it ameliorates performances by duplicating computing units on the same chip. Besides, this tendency is boosted by technological advances allowing a very large integration scale which is necessary to MPSOC fabrication. As a consequence, the challenge for MPSOCs has changed: Now, the calculation capacity and the number of processors on the same chip are more and more increasing and become often higher than requests. The priority has became then to focus on communication and synchronization between theses processors in order to ensure better performances of the whole system. In this survey we propose to make a detailed study about different architectural aspects of existing MPSOCs: First of all, we will deal with the topologies and the interconnections inside multi processor systems, with comparisons between PtoP (Point To Point), buses and NOCs (Networks On Chip) based communications. Then we will talk about GALS (Globally Asynchronous Locally Synchronous Systems). Finally, we will end with introducing memory architectures of MPSOCs

References
  1. V. Asokan, ”Designing Multiprocessor Systems in Platform Studio”, White Paper: Xilinx Platform Studio (XPS), 2007
  2. OCP-IP, System-on-Chip (SoC) design, http://www.ocpip.org/
  3. S. Meftali, ”An Optimal Memory Allocation for Application-Specific Multiprocessor System-on-Chip” System Synthesis, 2001. Proceedings: The 14th International Symposium On page(s): 19 -24, 2001
  4. IBM, ”The CoreConnect Bus Architecture” available at https://www01.ibm.com/chips/techlib/techlib.nsf/techdocs/852569B20050FF7785256 991004DB5D9
  5. ARM, ”AMBA Specification Rev 2.0”, available at http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.ihi0011a/index.html1
  6. Sonics, SoC design, http://www.sonicsinc.com/
  7. M.Moadeli ”An Analytical Performance Model for the Spidergon NoC”, 21st International Conference on Advanced Networking and Applications, 2007
  8. S. Pasrisha et al. ”Constraint-Driven Bus Matrix Synthesis for MPSoC”, Proceedings of the 2006 Asia and South Pacific Design Automation Conference Yokohama, Japan SESSION: Interconnect for high-end SoC, Pages: 30 - 35, 2006
  9. H.Lee et al., ”On-Chip Communication Architecture Exploration: A Quantitative Evaluation of Point-to-Point, Bus, and Network-on-Chip Approaches”, ACM Transactions on Design Automation of Electronic Systems,Vol. 12,No. 3, Article 23, 2007
  10. K. Rompaey et al. ”CoWare - A design environment for heterogeneous hardware/software systems”, Proceedings of the conference on European design automation, Geneva, Switzerland Pages: 252 - 257,1996
  11. L.Bennini et al. ”Networks on chips: A new paradigm for componentbased MPSoC design”, in A. Jerrraya and W. Wolf Editors, Multiprocessors Systems on Chips, Morgan Kaufman, pp. 49-80 , 2004
  12. P. Aldworth, ”System-on-a-Chip Bus Architecture for Embedded Applications”, IEEE International Conferenceon Computer Design, pp. 297- 298, 1999.
  13. S.Na et al. ”Low-Power Bus Architecture Composition for AMBA AXI”, journal of semiconductor technology ans sciences, VOL.9, NO.2, June, 2009
  14. Scandurra et al., ”STBus communication system: Concepts and sefinitions”, Reference Guide, STMicroelectronics.(stbus,2003)
  15. M.Loghi et al. ”Analyzing On-Chip Communication in a MPSoC Environment”, Proceedings of the conference on Design, automation and test in Europe - Volume 2, Page: 20752, 2004
  16. S.Murali et al. ”An Application-Specific Design Methodology for On-Chip Crossbar Generation”, Computer-Aided Design of Integrated Circuitsand Systems, IEEE Transactions, Volume: 26 Issue: 7, on page(s): 1283 - 1296, Sonoma, CA, USA July 2007
  17. ”WISHBONE System-on-Chip (SoC) Interconnection Architecture for Portable IP Cores”, rev B.4, 2010 available at http://cdn.opencores.org/downloads/wbspec
  18. M. Mitic et al. “An overview of on chip buses”, FACTA Universitatis Series: Electronics and Energetics, vol.19, no.3, pp 405-428, Dec 2006
  19. “Overview of the coreframe architecture”. Palmchip Corporation. [Online]. Available: http://www.palmchip.com
  20. F.Angiolini et al. ”Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness”, Proceedings of the conference on Design, automation and test in Europe, Munich, Germany, SESSION: Application-specific network on chip design Pages: 124 - 129, 2006
  21. T. Bjerregaard et al. ”A Survey of Research and Practices of Networkon- Chip ”, ACM Computing Surveys, Vol. 38, March 2006
  22. A. Radulescu et al. ”An Efficient On-Chip Network Interface Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Configuration”, Proceedings of the conference on Design, automation and test in Europe - Volume 2, Page: 20878, 2004
  23. T. Bjerregaard et al. ” An OCP Compliant Network Adapter for GALS-based SoC Design Using the MANGO Network-on-Chip”, ConferenceProceedings of the International Symposium on System-on-Chip (SoC’05), pp. 171-174, November 2005
  24. F.Karim et al. ”An interconnect architecture for networking systems on chips”, Micro IEEE, Volume: 22, Issue: 5, On page(s): 36 - 45, Sep/Oct 2002
  25. P.Pande et al. ”Effect of traffic localization on energy dissipation in NoCbased interconnect. In International Symposium on Circuits and Systems “(ISCAS).IEEE, 1774-1777, 2005
  26. N.Concer et al. ”aEqualized: a Novel Routing Algorithm For The Spidergon Network On Chip”, Design, Automation and Test in Europe Conference and Exhibition, 2009. DATE ’09, On page(s): 749 - 754, Nice, 20-24 April 2009
  27. A.Zitouni, “A Generic and Extensible Spidergon NoC ”,World academy of science, engineering and technonogy, issue 31, july 2007
  28. L.Bononi, ”Simulation and Analysis of Network on Chip Architectures: Ring, Spidergon and 2D Mesh”, Proceedings of the conference on Design, automation and test in Europe: Designers’ forum, Munich, Germany, SESSION: On chip communication networks, Pages: 154 -159 ,2006
  29. J.Bainbridge et al. ”CHAIN: A delay-insensitive chip area interconnect”, Micro, IEEE, Volume: 22, Issue: 5, On page(s): 16 - 23, Sep/Oct 2002
  30. K.Srinivasan et al. ”An Automated Technique for Topology and Route Generation of Application Specific On-Chip Interconnection Networks”, Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design, San Jose, CA, Pages: 231 - 237 2005
  31. P. Meloni etal. ”Routing Aware Switch Hardware Customization for Networks on Chips”, Nano-Networks 2006, Lausanne, Switzerland, September 14-16, 2006
  32. D.Bertozzi et al. ”NoC Synthesis Flow for Customized Domain Specific Multiprocessor Systems-on-Chip”, IEEE transactions on parallel and distributed systems, vol.16, No.2, february 2005
  33. M.Jamali et al. ”MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems” , World Academy of Science, Engineering and Technology 54 2009
  34. M.Aghatabar et al. ”An Empirical Investigation of Mesh and Torus NoC Topologies Under Different Routing Algorithms and Traffic Models”, Digital System Design Architectures, Methods and Tools, 2007. DSD. 2007. 10th Euromicro Conference, On page(s): 19 - 26, Lubeck, 29-31 Aug. 2007
  35. P.Pande et al. ”Evaluation of MP-SoC Interconnect Architectures: a Case Study”, Proceedings of 4th IWSOC, Banff, Alberta, Canada, 19th-21st July, 2004
  36. P.Pande et al. ”Design of a switch for network on chip applications”, Proceedings of the 2003 IEEE International Symposium on Circuits and Systems (Cat. No.03CH37430), IEEE, Volume vol.5, Bangkok, Thailand, p.217-20, 2003
  37. A.Adriahantenaina et al. ”SPIN: a Scalable, Packet Switched, Onchip Micro-network”, Proceedings of the Design,Automation and Test in Europe Conference and Exhibition (DATE’03), Munich, Germany, March 2003 CH.Leiserson, ”Fat-trees: Universal networks for hardware efficient supercomputing”, IEEE transaction on computers, volC-34 N10, October 1985
  38. G.Chiu “The Odd-Even Turn Model for Adaptive Routing”, transactions on parallel and distributed systems, VOL. 11, NO. 7, JULY 2000
  39. P.Mohapatra, ”Wormhole Routing Techniques for Directly Connected Multicomputer Systems”, ACM Computing Surveys, Vol. 30, No. 3, September 1998
  40. Ch.Glass et al. ”The Turn Model for Adaptive Routing”, ACM SIGARCH Computer Architecture News, Volume 20 , Issue 2 (May 1992), Special Issue: Proceedings of the 19th annual international symposium on Computer architecture (ISCA ’92), Pages: 278 - 287, 1992
  41. M.Li et al. ”DyXY - A Proximity Congestion-Aware Deadlock-Free Dynamic Routing Method for Network on Chip”, DAC 2006, July 24- 28, 2006, San Francisco, California, USA, 2006
  42. K. Goossens et al. ”AEthereal Network on Chip: Concepts, Architectures, and Implementations”, Copublished by the IEEE CS and the IEEE CASS IEEE Design and Test of Computers, 2005
  43. OpenCores, Open source hardware IP-cores, http://opencores.org/
  44. OpenCores, SoC Interconnection: Wishbone http://opencores.org/opencores,wishbone
  45. P.R.Panda ”Efficient Utilization of Scratch-Pad Memory in Embedded Processor Applications”, European Design and Test Conference, Proceedings of the 1997 European conference on Design and Test, Page: 7, 1997
  46. S.A.MCKEE, ”Reflections on the Memory Wall”. In Proc. of the Conference On Computing Frontiers, 2004
  47. I.Issinen et al. ”Multiprocessor System-on-Chip Data Reuse Analysis for Exploring Customized Memory Hierarchies” DAC 2006, July 24-28, 2006, San Francisco, California, USA
  48. K.Goossens et al ”Interconnect and memory organization in SOCs for advanced set-top boxes and TV” chapter 15 of the book ”Interconnect- Centric Design for Advanced SOC and NOC ” of J. Nurmi et al., 2004, VII, 453 p., Hardcov
Index Terms

Computer Science
Information Sciences

Keywords

MPSOC interconnections point to point bus NOC GALS memories