CFP last date
20 May 2024
Call for Paper
June Edition
IJCA solicits high quality original research papers for the upcoming June edition of the journal. The last date of research paper submission is 20 May 2024

Submit your paper
Know more
Reseach Article

A Novel Approach to Reduce Leakage Power in GALS System architectures

by A. Rajakumari, Dr. N. S. Murthy Sharma, Dr. K. Lal Kishore
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 36 - Number 5
Year of Publication: 2011
Authors: A. Rajakumari, Dr. N. S. Murthy Sharma, Dr. K. Lal Kishore
10.5120/4486-6314

A. Rajakumari, Dr. N. S. Murthy Sharma, Dr. K. Lal Kishore . A Novel Approach to Reduce Leakage Power in GALS System architectures. International Journal of Computer Applications. 36, 5 ( December 2011), 12-18. DOI=10.5120/4486-6314

@article{ 10.5120/4486-6314,
author = { A. Rajakumari, Dr. N. S. Murthy Sharma, Dr. K. Lal Kishore },
title = { A Novel Approach to Reduce Leakage Power in GALS System architectures },
journal = { International Journal of Computer Applications },
issue_date = { December 2011 },
volume = { 36 },
number = { 5 },
month = { December },
year = { 2011 },
issn = { 0975-8887 },
pages = { 12-18 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume36/number5/4486-6314/ },
doi = { 10.5120/4486-6314 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T20:22:21.644742+05:30
%A A. Rajakumari
%A Dr. N. S. Murthy Sharma
%A Dr. K. Lal Kishore
%T A Novel Approach to Reduce Leakage Power in GALS System architectures
%J International Journal of Computer Applications
%@ 0975-8887
%V 36
%N 5
%P 12-18
%D 2011
%I Foundation of Computer Science (FCS), NY, USA
Abstract

Globally asynchronous locally synchronous (GALS) system architectures are known for low power consumption through clock gating techniques. In GALS architectures set of logical synchronous modules will communicate with other through asynchronous wrappers. Though this technique results in good dynamic power consumption, as the process technology shrinking down to 45nm and below the leakage power is equivalent to dynamic power consumption. In this paper, we are proposing a power gating technique for GALS architectures which uses existing handshaking signals of asynchronous wrappers to reduce both dynamic and leakage power consumption. To prove the proposed architecture we have implemented a GALS asynchronous micro controller from Daltons[1] synchronous 8051. For this we used Synopsys SAED 90nm library for synthesis and demonstrated the new proposed power gating control techniques through U.P.F (Unified Power Format) based simulation results.

References
  1. Dalton Project.http://www.cs.ucr.edu/~dalton/8051/. University of California, Department of Computer Science, Riverside, CA 92521. 7 April 2005.
  2. Chong-Fatt Law, Bah-Hwee Gwee and Joseph S. Chang, “Modeling and Synthesis of Asynchronous Pipelines”, IEEE transactions on Very Large Scale Integrations (VLSI) Systems, Vol 19, No.4.April 2011
  3. Jens Muttersbach, Thoms Villiger, Hubert Kaeslim, Norbert Felber and Wolfgang Fichtner, “Globally-Asynchronous Locally Synchronous Architectures to Simplify the Design of On-Chip System” Proceedings of 12th IEEE international ASIC/SC conference, Washington DC, Sept. 1999.
  4. Michael N. Horak, University of Maryland, Steven M. Nowick, Columbia University, Matthew Carlberg, UC Berkeley Uzi Vishkin, University of Maryland: “Low-Overhead Asynchronous Interconnection Network for GALS Chip- Multiprocessors.” IEEE Transactions on April, 2011.
  5. T. Meincke, A. Hemani, S.Kumar, P. Ellervee, J. Oberg, T. Olsson, and P. Nilsson, “Globally asynchronous locally synchronous architecture for large high-performance ASICs,” in Proc. IEEE Int. Symp. Circuits Syst., May 1999, pp. 512–515.
  6. G. Semeraro, G. Magklis, R. Balasubramonian, D. H. Albonesi, S. Dwarkadas, and M. L. Scott, “Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling,” in Proc. IEEE Int. Symp. High-Perform. Comput. Arch., Feb. 2002, pp. 29–40.
  7. E. Talpes and D. Marculescu, “Toward a multiple clock/voltage island design style for power-aware processors,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 5, pp. 591–603, May 2005.
  8. E. Talpes and D. Marculescu, “A critical analysis of application-adaptive multiple clock processor,” in Proc. Int. Symp. Low Power Electron Des., Aug. 2003, pp. 278–281.
  9. Michael Keating, David Flynn, Robert Aitken, Alan Gibbons and Kaijian Shi.”Low Power Methodology anual For System On Chip Designs” 1st ed. 2007. Springer.
Index Terms

Computer Science
Information Sciences

Keywords

GALS (Globally asynchronous locally synchronous) Power Gating Power Gating Control U.P.F (Unified Power Format) Clock Gating 4-Phase Hand Shaking