CFP last date
20 May 2024
Call for Paper
June Edition
IJCA solicits high quality original research papers for the upcoming June edition of the journal. The last date of research paper submission is 20 May 2024

Submit your paper
Know more
Reseach Article

Enhanced Buffer Router Design in NOC

by Bhavana Prakash Shrivastava, Kavita Khare
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 51 - Number 20
Year of Publication: 2012
Authors: Bhavana Prakash Shrivastava, Kavita Khare
10.5120/8157-1793

Bhavana Prakash Shrivastava, Kavita Khare . Enhanced Buffer Router Design in NOC. International Journal of Computer Applications. 51, 20 ( August 2012), 18-24. DOI=10.5120/8157-1793

@article{ 10.5120/8157-1793,
author = { Bhavana Prakash Shrivastava, Kavita Khare },
title = { Enhanced Buffer Router Design in NOC },
journal = { International Journal of Computer Applications },
issue_date = { August 2012 },
volume = { 51 },
number = { 20 },
month = { August },
year = { 2012 },
issn = { 0975-8887 },
pages = { 18-24 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume51/number20/8157-1793/ },
doi = { 10.5120/8157-1793 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T20:50:52.661211+05:30
%A Bhavana Prakash Shrivastava
%A Kavita Khare
%T Enhanced Buffer Router Design in NOC
%J International Journal of Computer Applications
%@ 0975-8887
%V 51
%N 20
%P 18-24
%D 2012
%I Foundation of Computer Science (FCS), NY, USA
Abstract

This paper presents an advance router design using enhanced buffer. The design provides advantages of both buffer and bufferless network for that two cross bar switches are used. The concept of virtual channel (VC) is eliminated from the previous design by using an efficient flow-control scheme that uses the storage already present in pipelined channels in place of explicit input virtual channel buffers (VCBs). This can be addressed by providing enhanced buffers on the bufferless link and creating two virtual networks. With this approach, VCBs act as distributed FIFO buffers. Without VCBs or VCs, deadlock prevention is achieved by duplicating physical channels. An enhanced buffer provides a function of hand shaking by providing a ready valid handshake signal and two bit storage. Through this design the power is saving to 18. 98% and delay is reduced by 99. 13% as compared with the generic router and the power is saving to 15. 65% and delay is reduced to 97. 88% as compared to virtual channel router.

References
  1. L. Benini and G. De Micheli, "Networks on chips: A new SoC paradigm,"Computer, vol. 35, no. 1, pp. 70–78, 2002.
  2. W. J. Dally and B. Towles, "Route packets, not wires: On-chip interconnection networks," in DAC '01: Proceedings of the 38th Conference on Design Automation, Jun. 2001, pp. 684–689.
  3. P. Guerrier and A. Greiner, "A generic architecture for on-chip packet-switched interconnections," in DATE '00: Proceedings of the Conference on Design, Automation and Test in Europe, Mar. 2000, pp. 250–256.
  4. Z. Lu and A. Jantsch, "Flit ejection in on-chip wormhole-switched Networks with virtual channels," in NORCHIP '04: Proceedings of the 2004 IEEE/ACM International Conference on Norchip, Nov. 2004, pp. 273–276.
  5. J. Hu, ¨ U. Y. Ogras, and R. Marculescu, "System-level buffer allocation for application-specific networks-on-chip router design," IEEE Trans. on CAD of Integrated Circuits and Systems, vol. 25, no. 12, pp. 2919–2933, Jan. 2006.
  6. Z. Lu and A. Jantsch, "Flit ejection in on-chip wormhole-switched Networks with virtual channels," in NORCHIP '04: Proceedingsof the 2004 IEEE/ACM International Conference on Norchip, Nov. 2004, pp. 273–276.
  7. C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das,"ViChaR: A dynamic virtual channel regulator for network-on-chip routers," in MICRO'39: Proceedings of the 39th Annual IEEE/ACM International Sympo-sium on Microarchitecture, Dec. 2006, pp. 333–346.
  8. L. S. Peh, W. J. Dally, and P. Li-Shiuan, "Delay model for router microarchitectures," IEEE Micro, vol. 21, no. 1, pp. 26–34, 2001.
  9. T. Moscibroda, O. Mutlu, ''A case for bufferless routing in on-chip networks, in Proceedings of the 36th Annual International Symposium on Computer Architecture, June 2007.
  10. S. Borkar, "Design challenges of technology scaling," IEEE Micro, vol. 19, pp. 23–29, 1999
  11. S. Ramany and D. Eager, "The interaction between virtual channel flow control and adaptive routing in wormhole networks," in ICS '94: Proceedings of the 8th International Conference on Supercomputing, Jul. 1994, pp. 136–145.
  12. Y. Hoskote, S. Vangal, A. Singe, N. Borkar, and S. Borkar, "A 5-ghz mesh interconnect for a teraflops processor," IEEE Micro, vol. 27, no. 5, 2007.
  13. H. Wang, L. -S. Peh, and S. Malik, "Power-driven design of router Microarchitectures in on-chip networks," in MICRO 36: Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture. Washington, DC, USA: IEEE Computer Society, 2003, p. 105.
  14. S. Kim, M. B. Taylor, J. Miller, and D. Wentzlaff, "Energy characterization of a tiled architecture processor with on-chip networks," in ISLPED '03: Proceedings of the 2003 international symposium on Low power electronics and design. New York, NY, USA: ACM, 2003, pp. 424–427.
  15. A. K. Kodi, A. Sarathy, and A. Louri, "ideal: Inter-router dual-function energy- and area-efficient links for network-on-chip (noc)," in Proceedings of the 35th International Symposium on Computer Architecture. (ISCA'08), Beijing, China, June 2008, pp. 241–250.
  16. T. Moscibroda and O. Mutlu, "A case for bufferless routing in on-chip networks," in Proceedings of the 36th annual International Symposium on Computer Architecture, June 2007.
Index Terms

Computer Science
Information Sciences

Keywords

NOC Gate delay VCs enhanced buffer VCB