CFP last date
20 May 2024
Reseach Article

A SRAM Memory Cell Design in FPGA

by Venmathi V, Vivekanandan C
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 71 - Number 1
Year of Publication: 2013
Authors: Venmathi V, Vivekanandan C
10.5120/12323-8541

Venmathi V, Vivekanandan C . A SRAM Memory Cell Design in FPGA. International Journal of Computer Applications. 71, 1 ( June 2013), 23-26. DOI=10.5120/12323-8541

@article{ 10.5120/12323-8541,
author = { Venmathi V, Vivekanandan C },
title = { A SRAM Memory Cell Design in FPGA },
journal = { International Journal of Computer Applications },
issue_date = { June 2013 },
volume = { 71 },
number = { 1 },
month = { June },
year = { 2013 },
issn = { 0975-8887 },
pages = { 23-26 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume71/number1/12323-8541/ },
doi = { 10.5120/12323-8541 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T21:34:21.393337+05:30
%A Venmathi V
%A Vivekanandan C
%T A SRAM Memory Cell Design in FPGA
%J International Journal of Computer Applications
%@ 0975-8887
%V 71
%N 1
%P 23-26
%D 2013
%I Foundation of Computer Science (FCS), NY, USA
Abstract

The main objective of this work is to design a memory cell in Field Programmable Gate Array (FPGA) that consumes lesser power with reduced delay constraint. In the existing system, the FPGA is based on 10T Static Random Access Memory (SRAM) cell configuration in which power consumption is relatively high. The proposed work includes a Self controllable Voltage Level (SVL) circuit along with 10T SRAM cell and asynchronous counters in read circuit memory block instead of shift registers. The stand-by leakage power of 10T SRAM is reduced by incorporating a newly-developed leakage current reduction circuit called SVL circuit, with minimal overheads in terms of chip area and speed, which retains data in standby mode. In asynchronous counters, external clock is connected to the clock input of the first flip-flop only, whereas the successive flip-flops change when it is triggered by the falling edge of the previous counterparts. The various FPGA components are implemented in 180nm technology to evaluate the FPGA performance. Parameters like average power consumption and power delay product are compared with the existing system and it is found that the proposed system consumes lesser power but at the cost of the power delay product. The software tool used for design and simulation of various FPGA components is TANNER S-Edit.

References
  1. Do Anh-Tuan, Jeremy Yung Shern Low, Joshua Yung Lih Low, Zhi-Hui Kong, Xiaoliang Tan, and Kiat-Seng Yeo An 8T Differential SRAM With Improved Noise Margin for Bit-Interleaving in 65 nm CMOS IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 58, NO. 6, JUNE 2011
  2. H. Noguchi, S. Okumura, Y. Iguchi, H. Fujiwara, Y. Morita, K. Nii, H. Kawaguchi, and M. Yoshimoto, "Which is the best dual-port SRAM in 45-nm process technology? — 8T, 10T single end, and 10T differ-ential," inProc. IEEE Int. Conf. Integr. Circuit Design Technol. , Jun. 2008, pp. 55–58
  3. H. Noguchi, Y. Iguchi, H. Fujiwara, Y. Morita, K. Nii, H. Kawaguchi, and M. Yoshimoto, "A 10T non-precharge two-port SRAM for 74% power reduction in video processing," inProc. IEEE Comput. Soc. Annu. Symp. VLSI, 2007, pp. 107–112
  4. I. Chang, J. -J. Kim, S. Park, and K. Roy, "A 32 kb 10T subthreshold SRAM array with bit-interleaving and differential read scheme in 90nm CMOS," inProc. Int. Solid State Circuits Conf. , Feb. 2008, pp. 628–629
  5. Laxmi singh, Ajay Somkuwar, "Dynamic Random Access Memory with Self-controllable Voltage Level to reduce low leakage current in VLSI" Vol. 3, Issue 1, January -February 2013, pp. 1893-1897
  6. I. Kuonand J. Rose,"Measuring the gap between FPGAs and ASICs," IEEE Trans. Comput. -Aided Design Integr. Circuits Syst. , vol. 26, no. 2, pp. 203–215, Feb. 2007
  7. Tadayoshi Enomoto, Yoshinori Oka, Hiroaki Shikano, and Tomochika Harada Chuo University, Faculty of Science and Engineering, Tokyo, Japan, A Self-Controllable-Voltage-Level (SVL) Circuit for Low-Power, High-Speed CMOS Circuits".
  8. Ting-Jung Lin, Wei Zhang, and Niraj K. Jha, "SRAM-Based NATURE: A Dynamically Reconfigurable FPGA Based on 10T Low-Power SRAMs" IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1
Index Terms

Computer Science
Information Sciences

Keywords

10T SRAM FPGA nm technology Self controllable Voltage level circuit (SVL)