CFP last date
20 May 2024
Reseach Article

SRAM Cell Performance in Deep Submicron Technology

by Sampath Kumar, Sanjay Kr Singh, D. S. Chauhan, B. K. Kaushik, Arti Noor
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 72 - Number 22
Year of Publication: 2013
Authors: Sampath Kumar, Sanjay Kr Singh, D. S. Chauhan, B. K. Kaushik, Arti Noor
10.5120/12673-9314

Sampath Kumar, Sanjay Kr Singh, D. S. Chauhan, B. K. Kaushik, Arti Noor . SRAM Cell Performance in Deep Submicron Technology. International Journal of Computer Applications. 72, 22 ( June 2013), 21-27. DOI=10.5120/12673-9314

@article{ 10.5120/12673-9314,
author = { Sampath Kumar, Sanjay Kr Singh, D. S. Chauhan, B. K. Kaushik, Arti Noor },
title = { SRAM Cell Performance in Deep Submicron Technology },
journal = { International Journal of Computer Applications },
issue_date = { June 2013 },
volume = { 72 },
number = { 22 },
month = { June },
year = { 2013 },
issn = { 0975-8887 },
pages = { 21-27 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume72/number22/12673-9314/ },
doi = { 10.5120/12673-9314 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T21:38:36.400255+05:30
%A Sampath Kumar
%A Sanjay Kr Singh
%A D. S. Chauhan
%A B. K. Kaushik
%A Arti Noor
%T SRAM Cell Performance in Deep Submicron Technology
%J International Journal of Computer Applications
%@ 0975-8887
%V 72
%N 22
%P 21-27
%D 2013
%I Foundation of Computer Science (FCS), NY, USA
Abstract

This paper deals with the design opportunities of Static Random Access Memory (SRAM) for lower power Consumption and propagation delay . Here we have analyzed both read margin for read ability and write margin for SRAM write ability. Static Noise Margin affects both read margin and write margin. We have analyzed the Static Noise Margin using traditional butterfly method which requires the rotation of VTC by 45 degrees. SRAM cell is analysed through the considering of different type of analysis such as Static Noise Margin, Data Retention Voltage, Read Margin and Write Margin in 350nm technology.

References
  1. K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepali, Y. Wang, B. Zheng, and M. Bohr. A 3-GHz 70-Mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply. IEEE Journal of Solid State Circuits (JSSC), 41:146–151, January 2006.
  2. A. Bhavnagarwala, X. Tang, and J. Meindl. The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE Journal of Solid-State Circuits (JSSC), 36:658–665, April 2001.
  3. F. Lai and C. Lee. On-chip voltage down converter to improve SRAM read-write margin and static power for sub-nano CMOS technology. IEEE Journal of Solid-State Circuits (JSSC), Vol 42, Issue -9, :2061–2070, Aug 2007.
  4. M. Horiguchi, T. Sakata, and K. Itoh, "Switched-source-impedance CMOS circuit for low standby subthreshold current giga-scale LSI's," IEEE Journal of Solid-State Circuits, vol. 28, issue 11, pp. 1131-1135, Nov. 1993.
  5. B. H. Calhoun, A. Chandrakasan, "A 256kb sub-threshold SRAM in 65nm CMOS," IEEE International Solid-State Circuits Conference, pp. 628, Feb 2005.
  6. Andrew Carlson,Zheng Guo,Sriram Balasubramanian,Radu latanovici,Tsu-Jae King Liu, and Borivoje Ni•Z. Guo, S. Balasubramanian, R. Zlatanovici, T. King Liu, and B. Nikolic, "FinFET-based SRAM design," in Proc. ISLPED '05, Piscataway, NJ: IEEE, 2005, pp. 2-7
  7. H. Mizuno and T. Nagano, "Driving source-line (DSL) cell architecture for sub-1-V High-speed low power applications," Digest of Technical Papers. Symposium on VLSI Circuits, pp. 25–26, June 1995.
  8. H. Kawaguchi, Y. Iataka, and T. Sakurai, "Dynamic Leakage Cut-off Scheme for Low-Voltage SRAM's,"Digest of Technical Papers, Symposium on VLSI Circuits, pp. 140-141, June 1998.
  9. F. Li, D. Chen, L. He, and J. Cong, "Architectureevaluation for power-efficient FPGAs," In Proceedings of ACM International Symposium on Field Programmable Gate Arrays, 2003, 175—184. Feb 2002.
  10. L. Shang, A. S. Kaviani, and K. Bathala, "Dynamic power consumption in Virtex-II FPGA family," in FPGA '02 Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays – pp 157-164.
  11. Avant Star-Hspice Manual Volume III- MOSFET Models 1999-2000 A. Keshavarzi, S. Ma, S. Narendra, B. Bloechel, K. Mistry, T. Ghani, S. Borkar, and V. De,"Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs," Proceedingsof the International Symposium on Low Power Electronics and Design (ISLPED), Huntington Beach, CA, August 2001, pp. 207–212.
  12. K. Flautner et al, "Drowsy caches: simple techniques for reducing leakage power," International Symposium on Computer Architecture, pp. 148-157, May 2002.
  13. J. Lohstroh, E. Seevinck, and J. D. Groot, "Worst-Case Static Noise Margin Criteria for Logic Circuitsand Their Mathematical Equivalence," IEEE Journal of Solid-State Circuits, vol. SC-18, no. 6, pp. 803-807, Dec 1983.
  14. Sanjay Kr Singh, Sampath Kumar, Arti Noor, D. S. Chauhan & B. K. Kaushik. "International Journal of Advances in Engineering & Technology", Vol. 1, Issue 5, pp. 429-436,Nov 2011.
  15. A dependable SRAM with 7T/14T memory cell by Hidehiro Fujiwara published in "IEICE TRANS ELECTRON VOL E92-C, No. 4 April 2009"
  16. H. Qin, Y. Cao, D. Markovic, A. Vladimirescue, and J. Rabaey, "SRAM leakage suppression by minimizing standby supply voltage," in ISQED'04: Proc. of Fifth Intl. Symposium on Quality Electronic Design, 2004, pp. 55–60.
Index Terms

Computer Science
Information Sciences

Keywords

SRAM SNM DRV SOC CMOS DIBL