CFP last date
20 May 2024
Reseach Article

Design and Verification of AMBA APB Protocol

by Shankar, Dipti Girdhar, Neeraj Kr. Shukla
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 95 - Number 21
Year of Publication: 2014
Authors: Shankar, Dipti Girdhar, Neeraj Kr. Shukla
10.5120/16720-7047

Shankar, Dipti Girdhar, Neeraj Kr. Shukla . Design and Verification of AMBA APB Protocol. International Journal of Computer Applications. 95, 21 ( June 2014), 29-35. DOI=10.5120/16720-7047

@article{ 10.5120/16720-7047,
author = { Shankar, Dipti Girdhar, Neeraj Kr. Shukla },
title = { Design and Verification of AMBA APB Protocol },
journal = { International Journal of Computer Applications },
issue_date = { June 2014 },
volume = { 95 },
number = { 21 },
month = { June },
year = { 2014 },
issn = { 0975-8887 },
pages = { 29-35 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume95/number21/16720-7047/ },
doi = { 10.5120/16720-7047 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T22:20:03.265623+05:30
%A Shankar
%A Dipti Girdhar
%A Neeraj Kr. Shukla
%T Design and Verification of AMBA APB Protocol
%J International Journal of Computer Applications
%@ 0975-8887
%V 95
%N 21
%P 29-35
%D 2014
%I Foundation of Computer Science (FCS), NY, USA
Abstract

The SoC (System on Chip) uses AMBA (Advanced Microcontroller Bus Architecture) as an on chip bus. APB (Advanced Peripheral Bus) is one of the components of the AMBA bus architecture. APB is low bandwidth and low performance bus used to connect the peripherals like UART, Keypad, Timer and other peripheral devices to the bus architecture. This paper introduces the AMBA APB bus architecture design. The design is created using the verilog HDL and is tested by a verilog testbench. This design is verified using UVM (Universal Verification Methodology).

References
  1. ARM, "AMBA Specification Overview", http://www. arm. com/. .
  2. ARM, "AMBA APB3 Specification Overview", http://www. arm. com/
  3. Akhilesh Kumar, Richa Sinha, "Design and Verification analysis of APB3 Protocol with Coverage," IJAET, Nov 2011.
  4. Santhi Priya Sarekokku, K. Rajasekhar, "Design and Implementation of APB Bridge based on AMBA AXI 4. 0," IJERT, Vol. 1, Issue 9, Nov 2012.
  5. UVM Reference Manual, http://www. accellera. com
  6. Samir Palnitkar, "Verilog HDL: A guide to Digital Design and Synthesis (2nd Edition), Pearson, 2008.
  7. Chris Spear, "SystemVerilog for verification (2nd Edition): A guide to learning the testbench features, Springer, 2008.
  8. URL:http://www. testbench. com.
  9. Bergeron, "Writing testbenches using SystemVerilog," Springer, 2009.
  10. Vanessa R. Cooper, "Getting Started with UVM: A Beginner's Guide," Verilab, 2013.
Index Terms

Computer Science
Information Sciences

Keywords

AMBA APB SoC UVM Design Verification.