CFP last date
20 May 2024
Reseach Article

A Survey of Reconfigurable Architectures

by Mahendra Pratap Singh, Manoj Kumar Jain
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 98 - Number 14
Year of Publication: 2014
Authors: Mahendra Pratap Singh, Manoj Kumar Jain
10.5120/17254-7599

Mahendra Pratap Singh, Manoj Kumar Jain . A Survey of Reconfigurable Architectures. International Journal of Computer Applications. 98, 14 ( July 2014), 35-40. DOI=10.5120/17254-7599

@article{ 10.5120/17254-7599,
author = { Mahendra Pratap Singh, Manoj Kumar Jain },
title = { A Survey of Reconfigurable Architectures },
journal = { International Journal of Computer Applications },
issue_date = { July 2014 },
volume = { 98 },
number = { 14 },
month = { July },
year = { 2014 },
issn = { 0975-8887 },
pages = { 35-40 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume98/number14/17254-7599/ },
doi = { 10.5120/17254-7599 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T22:26:13.650991+05:30
%A Mahendra Pratap Singh
%A Manoj Kumar Jain
%T A Survey of Reconfigurable Architectures
%J International Journal of Computer Applications
%@ 0975-8887
%V 98
%N 14
%P 35-40
%D 2014
%I Foundation of Computer Science (FCS), NY, USA
Abstract

A new architecture type that is recently evolving is the reconfigurable architecture which combines the benefits of ASIPs (Application Specific Instruction Set Processors) and FPGAs (Field Programmable Gate Arrays). Reconfigurable computing combines software flexibility with high performance hardware. FPGAs are generally employed to construct a reconfigurable block as it provides an instant time-to-market advantage. Reconfigurable devices like FPGA offers improved computational efficiency as compared to traditional processor architectures. Reconfigurable block in these architectures provides the required flexibility for a large variety of embedded applications. Design space exploration of reconfigurable block involves a wide range of alternatives like logic block granularity in FPGA, interconnect topology, etc. The goal of this paper is to explore the reconfigurable architectures.

References
  1. Anupam Chattopadhyay, "Ingredients of Adaptability: A Survey of Reconfigurable Processors", Hindawi Publishing Corporation, VLSI Design, Volume 2013, Article ID 683615.
  2. Reiner Hartenstein, "A Decade of Reconfigurable Computing: a Visionary Retrospective", DATE '01 Proceedings of the conference on Design, automation and test in Europe, IEEE Press Piscataway, NJ, USA ©2001.
  3. K. Karuri, A. Chattopadhyay, S. Kraemer, R. Leupers, G. Ascheid, H. Meyr, "A Tool Flow for Design Space Exploration of Partially Re-con_gurable Processors", Integrated Signal Processing Systems, RWTH Aachen University 52056 Aachen, Germany.
  4. Philip Garcia, Katherine Compton,Michael Schulte, Emily Blem, andWenyin Fu, "An Overview of Reconfigurable Hardware in Embedded Systems", Hindawi Publishing Corporation, EURASIP Journal on Embedded Systems, Volume 2006, Article ID 56320.
  5. A. Chattopadhyay, W. Ahmed, K. Karuri, D. Kammler, R. Leupers, G. Ascheid, H. Meyr, "Design Space Exploration of Partially Re-con?gurable Embedded Processors", Integrated Signal Processing Systems, RWTH Aachen University 52056 Aachen, Germany.
  6. Elias Ahmed, "The effect of Logic Block Granularity on deep Submicron FPGA Performance and Density".
  7. Oliver Schliebusch, Gerd Ascheid, Andreas Wieferink, Rainer Leupers, Heinrich Meyr, "Application Speci?c Processors for Flexible Receivers".
  8. Muhammad Rashid, Ludovic Apvrille and Renaud Pacalet, "Application Speci?c Processors for Multimedia Applications".
  9. Ian Page, "Reconfigurable Processor Architectures".
  10. Damir Kirasic and Danko Basch, "Recon?gurable Processor Architectures: Varieties and Representations".
  11. U. Farooq, "Tree-Based Heterogeneous FPGA Architectures".
  12. Samuel Garcia and Bertrand Granado, "OLLAF: A Fine Grained Dynamically Reconfigurable Architecture for OS Support", EURASIP Journal on Embedded Systems 2009.
  13. Patrick Schaumont, Ingrid Verbauwhede, Kurt Keutzer, Majid Sarrafzadeh, "A Quick Safari through the Reconfiguration Jungle".
  14. Jonathan Rose, Abbas El Gamal, Alberto Sangiovanni-Vincentelli, "Architecture of Field-Programmable Gate Arrays.
  15. B. Mei,"ADRES: An Architecture with Tightly Coupled VLIW Processor and Coarse-grained Reconfigurable Matrix", Springer LNCS.
  16. D. Cherepacha and D. Lewis: "A Datapath Oriented Architecture for FPGAs"; Proc. FPGA'94, Monterey, CA, USA, February 1994.
  17. R. Kress et al. : "A Datapath Synthesis System for the Reconfigurable Datapath Architecture"; ASP-DAC'95, Chiba, Japan, Aug. 29 - Sept. 1, 1995.
  18. C. Ebeling et al. : „"RaPiD: Reconfigurable Pipelined Datapath Architecture".
  19. S. C. Goldstein et al. : "PipeRench: A Coprocessor for Streaming Multimedia Acceleration"; Proc. ISCA'99, Atlanta, May 2-4, 1999.
  20. D. Chen and J. Rabaey: PADDI: Programmable arithmetic devices for digital signal processing; VLSI Signal Processing IV, IEEE Press 1990.
  21. Vaishali Tehre, Ravindra Kshirsagar, "Survey on Coarse Grained Reconfigurable Architectures", International Journal of Computer Applications (0975 – 888), Volume 48– No. 16, June 2012.
  22. Jong-eun Lee and Kiyoung Choi, Nikil D. Dutt, "Compilation Approach for Coarse-Grained Recon?gurable Architectures", 0740-7475/03/$17. 00 © 2003 IEEE.
Index Terms

Computer Science
Information Sciences

Keywords

Reconfigurable Architectures FPGA FGRA CGRA.