CFP last date
20 May 2024
Reseach Article

Instruction Customization: A Challenge in ASIP Realization

by Deepti Shrimal, Manoj Kumar Jain
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 98 - Number 15
Year of Publication: 2014
Authors: Deepti Shrimal, Manoj Kumar Jain
10.5120/17260-7608

Deepti Shrimal, Manoj Kumar Jain . Instruction Customization: A Challenge in ASIP Realization. International Journal of Computer Applications. 98, 15 ( July 2014), 22-26. DOI=10.5120/17260-7608

@article{ 10.5120/17260-7608,
author = { Deepti Shrimal, Manoj Kumar Jain },
title = { Instruction Customization: A Challenge in ASIP Realization },
journal = { International Journal of Computer Applications },
issue_date = { July 2014 },
volume = { 98 },
number = { 15 },
month = { July },
year = { 2014 },
issn = { 0975-8887 },
pages = { 22-26 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume98/number15/17260-7608/ },
doi = { 10.5120/17260-7608 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T22:26:17.725606+05:30
%A Deepti Shrimal
%A Manoj Kumar Jain
%T Instruction Customization: A Challenge in ASIP Realization
%J International Journal of Computer Applications
%@ 0975-8887
%V 98
%N 15
%P 22-26
%D 2014
%I Foundation of Computer Science (FCS), NY, USA
Abstract

An Application Specific Instruction set Processors (ASIP) or alternatively known as customized processor is a processor designed for a particular application or for a set of applications. Earlier surveys show that though a significant research has been done for this most promising processor design technology, still approaches used in them are lacking in methodologies to define processor configuration based on the requirements of the applications. There are number of approaches claiming to design and synthesize ASIPs but they are facing many challenges. This paper is an attempt to find major challenges faced by them as well as the current state of these promising techniques adopted by the industry. This paper also analyzed their effort to know really what they could have achieved so far and identified what should be done to make these techniques successful. If some limitations can be removed soon, these techniques are going to expand in an explosive manner.

References
  1. Jain M. K. , Balakrisnana M. and Kumar A. 2001. ASIP Design and Methodologies: Survey and Issues. Proceedings of IEEE. VLSI. pp. 76-81.
  2. Ye Z. A. , Moshovos A. , Hauck S. , and Banerjee P. 2000. CHIMAERA: High- Performance Architecture with a Tightly-Coupled Reconfigurable Functional Unit. In Proc. 27th Annual International Symposium on Computer Architecture. pp. 225-235.
  3. Tensilica Inc. homepage, Available: http://www. tensilica. com
  4. Altera Corp. homepage, Available: http://www. altera. com.
  5. Xilinx Inc. homepage, Available: http://www. xilinx. com.
  6. Cong J. , Fan Y. , Han G. , and Zhang Z. 2004. Application- specific instruction generation for configurable processor architectures. In Proc. FPGA, Monterey. CA. pp 183-189.
  7. Atasu K. , Pozzi L. , and Ienne P. 2003. Automatic application- specific instruction-set extensions under microarchitectural constraints. In Proc. 40th DAC. pp 256-261.
  8. Atasu K. , Mencer O. and Luk W. 2008. Fast Custom Instruction Identification by Convex Subgraph enumeration. pp 1-6.
  9. Chen L, Tarango J. , Mitra T. and Brisk P. 2013. A Just-in-Time Customizable Processor. IEEE. pp 524-531.
  10. Chen X. , Maskell D. L. , and Sun Y. 2007. Fast identification of custom instructions for extensible processors. IEEE Trans. Computer-Aided Des (CAD) Integrated Circuits. pp 359-368.
  11. Pothineni N. , Kumar A. and Paul K. 2008. Exhaustive Enumeration of Legal Custom Instructions for Extensible Processors. 21st International Conference on VLSI Design pp 261-266.
  12. Pozzi L. , Atasu K. , and Ienne P. 2006. Exact and approximate algorithms for the extension of embedded processor instruction sets. IEEE Trans Computer Aided Des. pp 1209-1229
  13. Biswas P. , Banerjee S. , Dutt N. , Ienne P and Pozzi L. 2006. Performance and energy benefits of instruction set extension in an FPGA soft core. VLSI Design. pp 651-656.
  14. Lazányi J. 2005. Instruction Set Extension Using MicroBlaze processor. FPL. IEEE. pp 729-730.
  15. Hamblen J. O. 2006. Using System-on-a-Programmable-Chip Technology to Design Embedded System. IJCA. pp 142-152.
  16. Brown S. and Rose J. 1996. FPGA and CPLD Architectures: A tutorial. IEEE, pp. 42-46
  17. Peddersen J. 2005. Rapid Embedded Hardware/Software System Generation. Proceedings of the 18th International Conference on VLSI Design. pp 111-116.
  18. Cheung N. 2003. Rapid Configuration & Instruction Selection for an ASIP: A Case Study. IEEE. pp 802-807.
Index Terms

Computer Science
Information Sciences

Keywords

Application Specific Instruction set Processor (ASIP) Custom Processor Embedded System Micro-architecture Simulation and Synthesis.