CFP last date
20 May 2024
Call for Paper
June Edition
IJCA solicits high quality original research papers for the upcoming June edition of the journal. The last date of research paper submission is 20 May 2024

Submit your paper
Know more
Reseach Article

A Novel Approach for Multi-Bit Error Correction in Memories

Published on December 2013 by Jushwanth Xavier. X, Benujah. B. R
International Conference on Innovations In Intelligent Instrumentation, Optimization and Electrical Sciences
Foundation of Computer Science USA
ICIIIOES - Number 11
December 2013
Authors: Jushwanth Xavier. X, Benujah. B. R
44e20ce6-c7b4-4226-bed9-18ef717b5f01

Jushwanth Xavier. X, Benujah. B. R . A Novel Approach for Multi-Bit Error Correction in Memories. International Conference on Innovations In Intelligent Instrumentation, Optimization and Electrical Sciences. ICIIIOES, 11 (December 2013), 1-4.

@article{
author = { Jushwanth Xavier. X, Benujah. B. R },
title = { A Novel Approach for Multi-Bit Error Correction in Memories },
journal = { International Conference on Innovations In Intelligent Instrumentation, Optimization and Electrical Sciences },
issue_date = { December 2013 },
volume = { ICIIIOES },
number = { 11 },
month = { December },
year = { 2013 },
issn = 0975-8887,
pages = { 1-4 },
numpages = 4,
url = { /proceedings/iciiioes/number11/14356-1314/ },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Proceeding Article
%1 International Conference on Innovations In Intelligent Instrumentation, Optimization and Electrical Sciences
%A Jushwanth Xavier. X
%A Benujah. B. R
%T A Novel Approach for Multi-Bit Error Correction in Memories
%J International Conference on Innovations In Intelligent Instrumentation, Optimization and Electrical Sciences
%@ 0975-8887
%V ICIIIOES
%N 11
%P 1-4
%D 2013
%I International Journal of Computer Applications
Abstract

Due to advance technologies transistor size shrinks which makes the devices more vulnerable to noise and radiation effect. This affects the reliability of memories. Built-in current sensors (BICS) have been success in the case of single event upset (SEC). The process is taken one step further by proposing specific error correction codes to protect memories against multiple-bit upsets and to improve yield have been proposed. The method is evaluated using fault injection experiments. The results are compared with Hamming codes. The proposed codes provide a better performance compared to that of the hamming codes in terms of Single Event Upset. In the case of the Multi Bit Upset it provides better coverage in error deduction and correction.

References
  1. G. Cardarilli, A. Leandri, P. Marinucci, M. Ottavi, S. Pontarelli, M. Re, and A. Salsano, "Design of a fault tolerant solid state mass memory," IEEE Trans. Reliab. , vol. 52, no. 4, pp. 476–491, Dec. 2003.
  2. B. Cooke, "Reed Muller Error Correcting Codes," MIT Undergraduate J. Math. , vol. 1, pp. 21–26, 1999.
  3. P. A. Ferreyra, C. A. Marques, R. T. Ferreyra, and J. P. Gaspar, "Failure map functions and accelerated mean time to failure tests: New approaches for improving the reliability estimation in systems exposed to single event upsets," IEEE Trans. Nucl. Sci. , vol. 52, no. 1, pp. 494–500, Jan. 2005.
  4. P. Hazucha and C. Svensson, "Impact of CMOS technology scaling on the atmospheric neutron soft error rate," IEEE Trans. Nucl. Sci. , vol. 47, no. 6, pp. 2586–2594, Dec. 2000.
  5. J. Karlsson, P. Liden, P. Dahlgren, R. Johansson, and U. Gunneflo, "Using heavy-ion radiation to validate fault-handling mechanisms," IEEE Trans. Microelectron. , vol. 14, pp. 8–23, 1994.
  6. R. Reed, M. Carts, P. Marshall, C. J. Marshall, O. Musseau, P. Mc- Nulty, D. Roth, S. Buchner, J. Melinger, and T. Corbiere, "Heavy ion and proton-induced single event multiple upset," IEEE Trans. Nucl. Sci. , vol. 44, no. 6, pp. 2224–2229, Dec. 1997.
  7. N. Seifert, D. Moyer, N. Leland, and R. Hokinson, "Historical trend in alpha-particle induced soft error rates of the Alpha microprocessor," in Proc. 39th Annu. IEEE Int. Reliab. Phys. Symp. , 2001, pp. 259–265.
  8. S. Satoh, Y. Tosaka, and S. A. Wender, "Geometric effect of multiple-bit soft errors induced by cosmic ray neutrons on DRAM's" IEEE Electron Device Lett. , vol. 21, no. 6, pp. 310–312, 2000.
  9. A. Dutta and N. A. Touba, "Multiple bit upset tolerant memory using a selective cycle avoidance based SEC-DED-DAEC code. " in Proc. IEEE VLSI Test Symp. (VTS), 2007, pp. 349–354.
  10. M. Nicolaidis, F. Vargas, and B. Courtois, "Design of built-in current sensors for concurrent checking in radiation environments," IEEE Trans. Nucl. Sci. , vol. 40, no. 6, pp. 1584–1590, Dec. 1993.
  11. J. Lo, "Analysis of a BICS-only concurrent error detection method," IEEE Trans. Computers, vol. 51, no. 3, pp. 241–253, 2002.
  12. S. K. Lu, "Efficient built-in redundancy analysis for embedded memories with 2-D redundancy," IEEE Trans. Very Large Scale Integr. (VLSI) Systems, vol. 14, no. 1, pp. 34–42, Jan. 2006.
  13. C. Argyrides, A. A. Al-Yamani, C. Lisboa, and L. C. D. K. Pradhan, "Increasing memory yield in future technologies through innovative design," in Proc. 8th Int. Symp. Quality Electron. Des. (ISQED), Mar. 2009, pp. 622–626.
  14. C. Argyrides, H. Zarandi, and D. K. Pradhan, "Matrix codes: Multiple bit upsets tolerant method for SRAM memories", 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems, 2007. DFT '07, Pp. 340–348.
  15. J. A. Maestro and P. Reviriego, "Study of the effects of MBUs on the reliability of a 150 nm SRAM device," in Proc. 45th Annu. Des. Autom. Conf. (DAC), 2008, pp. 930–935.
Index Terms

Computer Science
Information Sciences

Keywords

Multi-bit Error Correction Single Event Upset Hamming Codes.