CFP last date
20 May 2024
Reseach Article

A High Bit Rate Serial-Serial Multiplier with Asynchronous Counter

Published on None 2011 by Thapasya Chandran, Samson Immanuel J
journal_cover_thumbnail
International Conference on VLSI, Communication & Instrumentation
Foundation of Computer Science USA
ICVCI - Number 12
None 2011
Authors: Thapasya Chandran, Samson Immanuel J
18ccb6f7-2409-42c2-bdb5-598247f452d6

Thapasya Chandran, Samson Immanuel J . A High Bit Rate Serial-Serial Multiplier with Asynchronous Counter. International Conference on VLSI, Communication & Instrumentation. ICVCI, 12 (None 2011), 30-33.

@article{
author = { Thapasya Chandran, Samson Immanuel J },
title = { A High Bit Rate Serial-Serial Multiplier with Asynchronous Counter },
journal = { International Conference on VLSI, Communication & Instrumentation },
issue_date = { None 2011 },
volume = { ICVCI },
number = { 12 },
month = { None },
year = { 2011 },
issn = 0975-8887,
pages = { 30-33 },
numpages = 4,
url = { /proceedings/icvci/number12/2720-1475/ },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Proceeding Article
%1 International Conference on VLSI, Communication & Instrumentation
%A Thapasya Chandran
%A Samson Immanuel J
%T A High Bit Rate Serial-Serial Multiplier with Asynchronous Counter
%J International Conference on VLSI, Communication & Instrumentation
%@ 0975-8887
%V ICVCI
%N 12
%P 30-33
%D 2011
%I International Journal of Computer Applications
Abstract

A serial-serial hybrid multiplier presented for applications with high data sampling rate (4GHz). In this technique entire partial product matrix requires only n sampling cycles for an n × n multiplication instead of at least 2n cycles in the conventional serial-serial multipliers. The 1’s counter is used to column compress the partial products (PP). It replaces conventional full adders and 5:3 counters with asynchronous 1’s counters so that the critical path is limited to only an AND gate and a D flip-flop (DFF). It reduces the height of the partial product matrix from n to [log2 n] +1. Multiplier dissipates only 21% less power at a sampling rate of 4 GHz compared to conventional wallace tree multiplier, and has only 11% additional delay penalty to complete a multiplication compared to the conventional fully parallel CSA array multiplier. This serial-serial multiplier finds application in system-on–chip.

References
  1. B. Parhami, Computer Arithmetic: Algorithms and Hardware Designs. New York: Oxford Univ. Press, 2009.
  2. J.-Y. Lai and C.-T. Huang, “Elixir: High-throughput cost-effective dual-field processors and the design framework for elliptic curve cryptography,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 16, no. 11, Nov. 2008,.pp. 1567–1580.
  3. C. H. Chang, J. Gu, and M. Zhang, “Ultra low-voltage low-power CMOS 4-2 and 5-2 compressors for fast arithmetic circuits,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 51, no. 10, pp. Oct. 2004
  4. R. Menon and D. Radhakrishnan, “High performance 5:2 compressor architectures,” IEE Proc-Circuits Devices Syst., vol. 153, no. 5, pp. 447–452, Oct. 2006.
  5. A. K. Lenstra and E. Verheul, “Selecting cryptographic key sizes,” J. Cryptology, vol. 14, no. 4, pp. 255–293, 2001.
  6. A. Hariri and A. Reyhani-Masoleh, “Bit-serial and bit-parallel Montgomery multiplication and squaring over GF(2m),” IEEE Trans. Comput., vol. 58, no. 10, pp. Oct. 2009, 1332–1345.
  7. A. Aggoun, A. Ashur, and M. K. Ibrahim, “Area-time efficient serial serial multipliers,” in Proc. IEEE Conf. Circuits Syst. (ISCAS), 2000, pp. 585–588.
  8. O. Nibouche, A. Bouridarie, and M. Nibouche, “New architectures for serial-serial multiplication,” in Proc. IEEE Conf. Circuits Syst. (ISCAS), 2001, vol. 2, pp. 705–708.
  9. M. R. Meher, C. C. Jong, and C. H. Chang, “A High Bit Rate Serial-Serial Multiplier With On-the-Fly Accumulation by Asynchronous Counters” IEEE Trans. Very Large Scale Integr, July , 2010
  10. J.-Y. Lai and C.-T. Huang, “Elixir: High-throughput cost-effective dual-field processors and the design framework for elliptic curve cryptography,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 16, no. 11, Nov. 2008,.pp. 1567–1580.
  11. X. Chang, M. Zhang, G. Zhang, Z. Zhang, and J. Wang, “Adaptive clock gating technique for low power IP core in SOC design,” in Proc. IEEE Int. Symp. Circuits Syst., May 2007, pp. 2120–2123.
  12. L. Dadda, “Some schemes for parallel multipliers,” Alta Freq., vol. 34,pp. 349–356, May 1965.
Index Terms

Computer Science
Information Sciences

Keywords

System On-Chip partial products serial-serial multiplier data sampling rate array multiplier