CFP last date
20 May 2024
Reseach Article

Simulation of 8T SRAM Array for Low Power Sensor Application

Published on September 2015 by Colin David Karat, Soorya Krishna K
National Conference “Electronics, Signals, Communication and Optimization"
Foundation of Computer Science USA
NCESCO2015 - Number 3
September 2015
Authors: Colin David Karat, Soorya Krishna K
75ae9db8-4a41-497b-a43e-d38bc275d818

Colin David Karat, Soorya Krishna K . Simulation of 8T SRAM Array for Low Power Sensor Application. National Conference “Electronics, Signals, Communication and Optimization". NCESCO2015, 3 (September 2015), 10-14.

@article{
author = { Colin David Karat, Soorya Krishna K },
title = { Simulation of 8T SRAM Array for Low Power Sensor Application },
journal = { National Conference “Electronics, Signals, Communication and Optimization" },
issue_date = { September 2015 },
volume = { NCESCO2015 },
number = { 3 },
month = { September },
year = { 2015 },
issn = 0975-8887,
pages = { 10-14 },
numpages = 5,
url = { /proceedings/ncesco2015/number3/22308-5328/ },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Proceeding Article
%1 National Conference “Electronics, Signals, Communication and Optimization"
%A Colin David Karat
%A Soorya Krishna K
%T Simulation of 8T SRAM Array for Low Power Sensor Application
%J National Conference “Electronics, Signals, Communication and Optimization"
%@ 0975-8887
%V NCESCO2015
%N 3
%P 10-14
%D 2015
%I International Journal of Computer Applications
Abstract

The sensor network has become an important aspect in the day today life because of its wide range of application from medical field to the military application. The raw data from sensor node are of large quantity and hence it is necessary to store these data bits. In this paper, design of optimized SRAM array for low power applications is implemented. SRAM cell is designed using 8T. In this, transmission gate is used as access transistor to increase write-ability and decrease the power dissipation. The peripheral circuits are chosen to construct the SRAM array. Simulation are carried out using 180nm technology and result show that the reading and writing of data takes place correctly.

References
  1. Sreerama Reddy and P. Chandrashekar Reddy, "Design and implementation of 8K bits low power SRAM in 180nm technology"Proceedings of International multi conference of Engineer and Computer Scientists. Vol2. 2009
  2. Kang, Sung-Mo and Yusuf Leblebici, "CMOS digital integrated circuits". Tata McGraw-Hill Education, 2003.
  3. Pavlov, Andrei S, "Design and test of embedded SRAMs". Diss. University of Waterloo, 2005.
  4. Brooks and Sarah, "Design of a Low Power Latch Based SRAM Sense Amplifier". Diss. Worcester Polytechnic Institute, 2014.
  5. Vazir, Irina, et al, "SRAM IP for DSP/SoC Projects", San Jose State University SRAM .
  6. Sharma, Vibhu, Francky Catthoor, and Wim Dehaene, "SRAM Design for Wireless Sensor Networks", Springer New York, 2013.
  7. Chang et al. "A differential data-aware power-supplied (D2AP) 8T SRAM cell with expanded write/read stabilities for lower VDD min applications", vol 45, 1234--1245, IEEE 2010.
  8. Makosiej et al. "A 32nm tunnel FET SRAM for ultra low leakage", 2012 IEEE International Symposium , pp. 2517--2520.
  9. Govind, Shyami and Moni, D Jackuline, "Designing an average 8T SRAM", 2014 IEEE, pp. 823--827
  10. Bhuvaneshwari, Y. V. , et al. "SEU study of 4T, 6T, 7T, 8T, 10T MOSFET based SRAM using TCAD simulation. " Information Communication and Embedded Systems (ICICES), 2014 International Conference on. IEEE, 2014 , pp. 1-7.
  11. M. Yabuuchi et al. "A 45 nm 0. 6 V Cross-Point 8T SRAM with Negative Biased Read/Write Assist", Symposium on VLSI Circuits Digest of Technical Papers, IEEE 2009, pp. 158–-159.
  12. Chang, Leland, et al. "An 8T-SRAM for variability tolerance and low-voltage operation in high-performance cache" Solid-State Circuits, IEEE Journal of 43. 4 (2008):pp. 956-963
  13. W. Rehat Davis, "SRAM peripheral circuits and DRAM", NC State University, pp. 1-25, 2012.
  14. Khayatzadeh, Mahmood, and Yong Lian. "Average-8T differential-sensing subthreshold SRAM with bit interleaving and 1k bits per bitline" Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 22. 5 (2014):pp. 971-982.
  15. Hong, Chi-Hao, et al. "A low-power charge sharing hierarchical bitline and voltage-latched sense amplifier for SRAM macro in 28 nm CMOS technology" System-on-Chip Conference (SOCC), 2014 27th IEEE International. IEEE, 2014. pp. 160-164.
  16. Hassan, Siti Lailatul Mohd, Idalailah Dayah, and Ili Shairah Abdul Halim. "Comparative study on 8T SRAM with different type of sense amplifier" Semiconductor Electronics (ICSE), 2014 IEEE International Conference on. IEEE, 2014. pp. 321-324.
  17. Lu, Chih-Wen, Ching-Min Hsiao, and Ping-Yeh Yin. "A 10-b two-stage DAC with an area-efficient multiple-output voltage selector and a linearity-enhanced DAC-embedded Op-Amp for LCD column driver ICs" Solid-State Circuits, IEEE Journal of 48. 6 (2013):pp. 1475-1486.
Index Terms

Computer Science
Information Sciences

Keywords

Sram Sensor Network Static Noise Margin.