CFP last date
20 May 2024
Reseach Article

Design of Low Power Sram using Adiabatic Change of Wordline Voltage

Published on June 2015 by M.durgadevi, R.lavanya
National Conference on Emerging Trends in Advanced Communication Technologies
Foundation of Computer Science USA
NCETACT2015 - Number 4
June 2015
Authors: M.durgadevi, R.lavanya
b2a60d14-e110-4c3f-9228-95d6d76b539b

M.durgadevi, R.lavanya . Design of Low Power Sram using Adiabatic Change of Wordline Voltage. National Conference on Emerging Trends in Advanced Communication Technologies. NCETACT2015, 4 (June 2015), 21-27.

@article{
author = { M.durgadevi, R.lavanya },
title = { Design of Low Power Sram using Adiabatic Change of Wordline Voltage },
journal = { National Conference on Emerging Trends in Advanced Communication Technologies },
issue_date = { June 2015 },
volume = { NCETACT2015 },
number = { 4 },
month = { June },
year = { 2015 },
issn = 0975-8887,
pages = { 21-27 },
numpages = 7,
url = { /proceedings/ncetact2015/number4/21006-2050/ },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Proceeding Article
%1 National Conference on Emerging Trends in Advanced Communication Technologies
%A M.durgadevi
%A R.lavanya
%T Design of Low Power Sram using Adiabatic Change of Wordline Voltage
%J National Conference on Emerging Trends in Advanced Communication Technologies
%@ 0975-8887
%V NCETACT2015
%N 4
%P 21-27
%D 2015
%I International Journal of Computer Applications
Abstract

The requirements of low power integrated circuits are very important in all electronic portable equipment's. Normally SRAM consume more power during read and write operations because of more power consumptions speed of the circuit will be reduced finally the performance will be degraded. To reduce power consumption and increase RNM (Read Noise Margin) the adiabatic change of word line voltage is used in single bit line SRAM and also sense amplifier flip flop and pre-charge circuit is used. During read operation pre-charge circuit is connected with selective bit lines to minimize the overall RAM power consumption and sense amplifier flip-flop is used to increase the speed of the operation. Using of adiabatic circuit in single bit line SRAM, the power consumption is reduced from 80% to 50%.

References
  1. Shunji Nakata, Hiroki Hanazono, Hiroshi Makino, Hiroki Morimura, Masayuki Miyama, and Yoshio Matsuda" Increase in Read Noise Margin of Single-Bit-Line SRAM Using Adiabatic Change of Word Line Voltage" IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 22, no. 3, March 2014.
  2. D. Ho, K. Iniewski, S. Kasnavi, A. Ivanov, and S. Natarajan, "Ultra-low power 90 nm 6T SRAM cell for wireless sensor network application,"in Proc. IEEE Int. Symp. Circuits Syst. . , May 2006, pp. 4131–4134.
  3. S. R. Singh and K. Moez, "Ultra-low leakage 90 nm content addressable memory design for wireless sensor network applications," inProc. IEEE Int. Midwest Symp. Circuits Syst. , Aug. 2009, pp. 1191–1194.
  4. F. Frustaci, P. Corsonello, S. Perri, and G. Cocorullo, "Techniques for leakage energy reduction in deep sub micrometer cache memories, "IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 14, no. 11,pp. 1238–1249, Nov. 2006.
  5. L. Chang, D. M. Fried, J. Hergenro ther,J. W. Sleight,R. H. Dennard,R. K. Montoye, L. Sekaric, S. J. McNab, A. W. Topol, C. D. Adams,K. W. Guarini, and W. Haensch, "Stable SRAM cell design for the 32 nm node and beyond," in Proc. Symp. VLSI Technol. , Jun. 2005,pp. 128–129.
  6. S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, M. Igarashi, M. Takeuchi, H. Kawashima, H. Makino, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, K. Ishibashi, and H. Shinohara, "A 65-nm SoC embedded 6T-SRAM design for manufacturing with read and write cell stabilizing circuits," in Proc. Symp. VLSI Circuits, 2006,pp. 17–18.
  7. S. Nakata, H. Suzuki, H. Maki no, S. Mutoh, M. Miyama, and Y. Mat-suda, "Increasing static noise margin of single-bit-line SRAM by lowering bit-line voltage during reading," in Proc. IEEE Int. Midwest Symp. Circuits Syst. , Aug. 2011, pp. 1–4.
  8. Koushik K. Das and Richard B. Brown, "Ultra Low-Leakage Power Strategies for Sub-1 V VLSI: Novel Circuit Styles and Design Methodologies for Partially Depleted Silicon-On-Insulator (PD-SOI) CMOS Technology" International Conference on VLSI Design, July 2003.
  9. A. Blotti and R. Saletti, "Ultralow-power adiabatic circuit semi-custom design," IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 12, no. 11, pp. 1248–1253, Nov. 2004.
  10. S. Kim and M. C. Papaefthymiou, "True single-phase adiabatic circuitry," IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 9, no. 1, pp. 52–63, Feb. 2001.
  11. K. Kim, H. Mahmoodi, and K. Roy, "A low-power SRAM using bit-line charge-recycling," IEEE J. Solid-State Circuits , vol. 43, no. 2, pp. 446–459, Feb. 2008.
  12. H. Mahmoodi, V. Tirumalashetty, M. Cooke, and K. Roy, "Ultra-low-power clocking scheme using energy recovery and clock gating," IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 17, no. 1, pp. 33–44, Jan. 2009.
  13. S. Nakata, H. Suz uki, R. Honda, T. Kusumoto, S. Mutoh, H. Makino, M. Miyama, and Y. Matsuda, "Adiabatic SRAM with a shared access port using a controlled ground line and step-voltage circuit," in Proc. IEEE Int. Symp. Circuits Syst. , Jun. 2010, pp. 2474–2477.
  14. J. C. Kao, W. H. Ma, V. S. Sathe, and M. Papaefthymiou, "Energy-efficient low-latency 600 MHz FIR with high-overdrive charge-recovery logic," IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 20, no. 6, pp. 977–988, Jun. 2012.
  15. S. E. Esmaeili, A. J. Al-Kahlili, and G. E. R. Cowan, "Low-swing differ-ential conditional capturing flip-flop f or LC resonant clock distribution networks," IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 20, no. 8, pp. 1547–1551, Aug. 2012.
  16. K. Nii, M. Yabuuchi, Y. Tsuka moto, S. Ohbayashi, S. Imaoka, H. Makino, Y. Yamagami, S. Ishikura, T. Terano, T. Oashi, K. Hashimoto, A. Sebe, S. Okazaki, K. Satomi, H. Akamatsu, and H. Shinohara, "A 45-nm bulk CMOS embedded SRAM with improved immunity against process and temperature variations," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 180–191, Jan. 2008.
  17. V. Gupta and M. Anis, "Statistical design of the 6T SRAM bit cell," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 1, pp. 93–104, Jan. 2010.
  18. Kiyoshi Takeuchi, Risho Koh, and Tohru Mogami "A Study of the Threshold Voltage Variation for Ultra-Small Bulk and SOI CMOS" IEEE Transactions on Electron Devices, Vol. 48, No. 9, September 2001.
  19. Z. Guo, S. Balasubramanian, R. Zlatanovici, T. J. King, and B. Nikolic, "FinFET-based SRAM design" in Proc. IEEE Int. Symp. Low Power Electron. Design, Aug. 2005, pp. 2–7.
  20. Y. Li, C. H. Hwang, and T. Y. Li, "Random-dopant-induced variability in nano-CMOS devices and digital circuits," IEEE Trans. Electron. Dev. , vol. 56, no. 8, pp. 1588–1597, Aug. 2009.
  21. B. Rooseleer, S. Cosemans, and W. Dehaene, "A 65 nm, 850 MHz, 256 kbit, 4. 3 pJ/access, ultra low leakage power memory using dynamic cell stability and a dual swing data link," IEEE J. Solid-State Circuits , vol. 47, no. 7, pp. 1784–1796, Jul. 2012.
  22. Myeong-Eun Hwang, Arijit Raychowdhury, and Kaushik Roy "Energy-Recovery Techniques to Reduce On-Chip Power Density in Molecular Nanotechnologies" IEEE Transactions On Circuits And Systems, Vol. 52, No. 8, August 2005.
  23. S. Nakata, "Adiabatic charging reversible logic using a switched capacitor regenerator," Inst. Electron. Inf. Commun. Eng. Trans. Electron, vol. E87-C, no. 11, pp. 1837–1846, Nov. 2004.
  24. K. Roy and S. C. Prasad, Low-Power CMOS VLSI Circuit Design. New York, USA: Wiley, 2000.
  25. H. J. M. Veendrick, "Short-circui t dissipation of static CMOS circuitry and its impact on the design of buffer circuits," IEEE J. Solid-State Circuits, vol. 19, no. 4, pp. 468–473, Aug. 1984.
  26. W. C. Athas, L. J. Svensson, J. G. Koller, N. Tzartzanis, and E. Chou,"Low-power digital systems based on a diabatic-switching principles,"IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 2, no. 4, pp. 398–407, Dec. 1994.
  27. L. J. Svensson and J. G. Koller, "Driving a capacitive load without dissipating fCV2," in Proc. IEEE Symp. Low Power Electron. , Oct. 1994,pp. 100–101.
  28. Saibal Mukhopadhyay, Kunhyuk Kang, Hamid Ma hmoodi, and Kaushik Roy. "Design of Reliable and Self-Repairing SRAM in Nano-scale Technologies using Leakage and Delay Monitoring" International Test Conference 2005.
  29. A. Bhavnagarwala, et al. , "A Sub-600mV, Fluctuation tolerant 65nm CMOS SRAM Array with Dynamic Cell Biasing", Symp. VLSI Circuits, pp. 78-79, 2007.
  30. S. Ohbayashi, et al. , "A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability with Read and Write Operation Stabilizing Circuits", JSSC, vol 42, No. 4, pp. 820-829, April 2007.
Index Terms

Computer Science
Information Sciences

Keywords

Sram Rnm Sense Amplifier Flip Flop Adiabatic Logic.