CFP last date
20 May 2024
Reseach Article

Design of Low Complexity Encoder for Capacitively Coupled VLSI Interconnects

Published on None 2011 by Deepika Agarwal, G. Nagendra Babu, B. K. Kaushik, S. K. Manhas
Evolution in Networks and Computer Communications
Foundation of Computer Science USA
ENCC - Number 2
None 2011
Authors: Deepika Agarwal, G. Nagendra Babu, B. K. Kaushik, S. K. Manhas
4a266afb-e10d-4976-acd9-609d0f5f3912

Deepika Agarwal, G. Nagendra Babu, B. K. Kaushik, S. K. Manhas . Design of Low Complexity Encoder for Capacitively Coupled VLSI Interconnects. Evolution in Networks and Computer Communications. ENCC, 2 (None 2011), 45-49.

@article{
author = { Deepika Agarwal, G. Nagendra Babu, B. K. Kaushik, S. K. Manhas },
title = { Design of Low Complexity Encoder for Capacitively Coupled VLSI Interconnects },
journal = { Evolution in Networks and Computer Communications },
issue_date = { None 2011 },
volume = { ENCC },
number = { 2 },
month = { None },
year = { 2011 },
issn = 0975-8887,
pages = { 45-49 },
numpages = 5,
url = { /specialissues/encc/number2/3727-encc016/ },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Special Issue Article
%1 Evolution in Networks and Computer Communications
%A Deepika Agarwal
%A G. Nagendra Babu
%A B. K. Kaushik
%A S. K. Manhas
%T Design of Low Complexity Encoder for Capacitively Coupled VLSI Interconnects
%J Evolution in Networks and Computer Communications
%@ 0975-8887
%V ENCC
%N 2
%P 45-49
%D 2011
%I International Journal of Computer Applications
Abstract

In current Deep Submicron (DSM) era, interconnects play important role in overall performance of the chip. The factors such as power dissipation and crosstalk through RC modeled interconnects substantially affect the entire working of the chip. Therefore, to enhance the performance, minimization or elimination of coupling between interconnects is essential. Bus-invert method is the best method which can simultaneously reduce coupling and power consumption of interconnects. The proposed method focuses on designing low complexity encoder for 4, 8 and 16 bit RC coupled lines. The encoder proposed occupies 37% lesser area than the most popular encoder. The power consumption of this encoder is 68% lesser and the overall delay is also reduced by 57% compared to the existing encoders for RC modeled interconnects.

References
  1. Cong, J., He, L., Khoo, K. Y., Koh, C. K., and Pan, D. Z. “Interconnect design for deep submicron ICs,” in Proc. Int. Conf. Computer-Aided Design, pp. 478 – 485, Nov. 1997.
  2. International Technology Roadmap for Semiconductors 2007.
  3. Victor, B., and Keutzer, K. “Bus encoding to prevent crosstalk delay,” in Proc. Int. Conf. on Computer-Aided Design, pp. 57-63, 2001.
  4. Benini, L., Micheli, G. D., Macii, E., Sciuto, D., and Silviano, C. “Asymptotic zero-transition activity encoding for address busses in low- power microprocessor-based system,” 7th Great Lakes Symp. on VLSI, Urbana, IL, USA, pp. 77-82, March 1997.
  5. Lyuh, C. G., and Kim, T. “Low-power bus encoding with crosstalk delay elimination,” IEEE proceedings-Computer and Digital Techniques, vol. 153, no. 2, pp. 93-100, March 2006.
  6. Chandel, R., Sarkar, S., and Agarwal, R. P. “Repeater insertion in global interconnects in VLSI circuits,” Microelectronics International, pp. 43-50, 2005.
  7. Ghoneima, M., Ismail, Y. I., Khellah, M. M., Tschanz, J. W., and De, V. “Formal derivation of optimal active shielding for low-power on-chip buses,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 5, pp. 821- 836, May 2006.
  8. Khan, Z., Arslan, T., and Erdogan, A. T. “Low power system on chip bus encoding scheme with crosstalk noise reduction capability,” IEEE Proceedings-Computers and Digital Techniques, vol. 153, no. 2, pp. 101 -108, March 2006.
  9. Stan, M. R., and Burleson, W. P. Bus-Invert Coding for Low-power I/O. IEEE Trans. on Very Large Scale Integration System, vol. 3, no. 1, pp. 49-58, March 1995.
  10. Shin, Y., Chae, S. I., and Choi, K. Reduction of bus transitions with partial bus-invert coding. Electronics Letters, vol. 34, no. 7, pp.642-643, April 1998.
  11. Shin, Y., Chae, S. I., and Choi, K. Partial bus-invert coding for power optimization of application-specific systems. IEEE Trans. on Very Large Scale Integration Systems, vol. 9, no. 2, pp. 377-383, April 2001.
  12. Fan, C. P., and Fang, C. H. Efficient RC low-power bus encoding methods for crosstalk reduction. Integration VLSI Journal, Elsevier, vol. 44, no. 1, pp. 75-86, Jan. 2011.
  13. Rabaey, J. M., Chandrakasan, A., and Nikolic, B. Digital Integrated Circuits. Prentice-Hall 2003.
Index Terms

Computer Science
Information Sciences

Keywords

Crosstalk bus-invert power consumption overall delay