CFP last date
22 April 2024
Reseach Article

Energy-aware Scheduling based Tasks dynamic Priority on Homogeneous Multiprocessor Platforms

by Shahira M. Habashy
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 179 - Number 16
Year of Publication: 2018
Authors: Shahira M. Habashy
10.5120/ijca2018916237

Shahira M. Habashy . Energy-aware Scheduling based Tasks dynamic Priority on Homogeneous Multiprocessor Platforms. International Journal of Computer Applications. 179, 16 ( Jan 2018), 18-25. DOI=10.5120/ijca2018916237

@article{ 10.5120/ijca2018916237,
author = { Shahira M. Habashy },
title = { Energy-aware Scheduling based Tasks dynamic Priority on Homogeneous Multiprocessor Platforms },
journal = { International Journal of Computer Applications },
issue_date = { Jan 2018 },
volume = { 179 },
number = { 16 },
month = { Jan },
year = { 2018 },
issn = { 0975-8887 },
pages = { 18-25 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume179/number16/28883-2018916237/ },
doi = { 10.5120/ijca2018916237 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-07T00:55:32.540409+05:30
%A Shahira M. Habashy
%T Energy-aware Scheduling based Tasks dynamic Priority on Homogeneous Multiprocessor Platforms
%J International Journal of Computer Applications
%@ 0975-8887
%V 179
%N 16
%P 18-25
%D 2018
%I Foundation of Computer Science (FCS), NY, USA
Abstract

Today the computation capability of modern computational systems increased. Multi-core processors achieve improved performance with lower power consumption. Dynamic Voltage and Frequency Scaling (DVFS) technique, permits processors to dynamically change their supply voltages and execution frequencies so it can work on many power/energy levels. This scheme is considered as an efficient technique to fulfill the goal of saving energy. This paper, considered scheduling task set on homogeneous multiprocessor platforms using Dynamic Voltage and Frequency Scaling. Achieving minimal overall system energy consumption was our goal. We propose an integrated approach that assigns a dynamic priority to each task in ready queue related to every ready processor based on task deadline and processor load. We are experimentally inspected the effect of our dynamic priority algorithm using feasibility, energy and feasibility/energy performance measurement. Our evaluation results show considerable energy gains with acceptable performance when compared with other well-known heuristics.

References
  1. J. Dorsey, S. Searles, M. Ciraula, S. Johnson, N. Bujanos, D. Wu, M. Braganza, S. Meyers, E. Fang, and R. Kumar, “An integrated quad-core opteron processor”, In Solid- State Circuits Conference, ISSCC 2007. Digest of Technical Papers. IEEE International, feb. 2007, pages 102 –103.
  2. http://www.intel.com/products/processor/corei7/ specifications.
  3. http://www.intel.com/design/intarch/xeon/ specifications xeon.htm.
  4. R. Kumar and G. Hinton, “A family of 45 nm ia processors”, In Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2009. IEEE International, feb. 2009, pages 58 –59.
  5. Li, Dawei, et al., “Energy-aware scheduling on Multiprocessor Platforms”, Springer Briefs in Computer Science, 2013.
  6. Tom Guérout, et al., “Energy-aware simulation with DVFS”, Simulation Modelling Practice and Theory, Elsevier, 39 (2013) 76–91.
  7. L. Mosley, “Power delivery challenges for multicore processors”, In Proceedings of CARTS, 2008.
  8. C.-Y. Yang, J.-J. Chen, T.-W. Kuo, and L. Thiele, “An approximation scheme for energy-efficient scheduling of real-time tasks in heterogeneous multiprocessor systems,” in Design, Automation Test in Europe Conference and Exhibition, April 2009, pp. 694–699.
  9. S. Irani, S. Shukla, and R. Gupta, “Algorithms for power savings”, ACM Trans. Algorithms, 2007, 3(4):41.
  10. MARIO BAMBAGINI, et al., “Energy-Aware Scheduling for Real-Time Systems: A Survey”, ACM Transactions on Embedded Computing Systems, Vol. 15, No. 1, Article 7, Publication date: January 2016.
  11. Rajkumar K, and Swaminathan P, “Optimized energy aware scheduling to minimize makespan in distributed systems”, Biomedical Research, India, 2017; 28 (7): 2877-2883.
  12. A. Rowe, K. Lakshmanan, H. Zhu, and R. Rajkumar, “Rate-harmonized scheduling for saving energy”, In RTSS ’08: Proceedings of the 2008 Real-Time Systems Sympo- sium, Washington, DC, USA, 2008. IEEE Computer Society, pages 113–122.
  13. Mohammad H. Mottaghi, Hamid R. Zarandi, "DFTS: A dynamic fault-tolerant scheduling for real-time tasks in multicore processors", Microprocessors and Microsystems 38 (2014) 88–97.
  14. YAN WANG,et al., “Energy-Aware Data Allocation and Task Scheduling on Heterogeneous Multiprocessor Systems With Time Constraints”, IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING, Digital Object Identifier 10.1109/TETC.2014.2300632, January 2014.
  15. Weiwei Lin, et al., “A Heuristic Task Scheduling Algorithm for Heterogeneous Virtual Clusters”, Hindawi Publishing Corporation Scientific Programming Volume 2016, Article ID 7040276, 10 pages. http://dx.doi.org/10.1155/2016/7040276
  16. Weicheng Huai, et al., “Energy Aware Task Scheduling in Data Centers”, Journal of Wireless Mobile Networks, Ubiquitous Computing, and Dependable Applications, July 2013, volume: 4, number: 2, pp. 18-38
  17. S. Saewong and R. Rajkumar, “Practical Voltage-Scaling for Fixed- Priority Real-time Systems”, Proceedings of the IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS’03), May 2003.
  18. Silvana Teodoro, et al., “Energy Efficiency Management in Computational Grids through Energy-aware Scheduling”, ACM 978-1-4503-1656-9/13/03, Coimbra, Portugal, march 2013.
  19. Zhuravlev S, Saez JC, Blagodurov S, FedorovaA, PrietoM, “ Survey of energy-cognizant scheduling techniques”, IEEE Trans Parallel Distributed System , 2013, 24(7):1447–1464.
  20. Yao F, Demers A, Shenker S, “A scheduling model for reduced CPU energy”, In: Proceedings of the 36th annual symposium on foundations of computer science (FOCS ’95), , 1995, pp 374–382.
  21. Aydin H, Melhem R, Mossé D, Mejia-Alvarez P, “Dynamic and aggressive scheduling techniques for power-aware real-time systems”, In: Proceedings of the 22nd IEEE real-time systems symposium (RTSS’01), 2001, pp 95–105.
  22. Coskun AK, Rosing TS, Whisnant KA, Gross KC, “Temperature-aware MPSoC scheduling for reducing hot spots and gradients”, In: Proceedings of the Asia and South pacific design automation conference (ASP-DAC ’08) , 2008, pp 49–54.
  23. Stavrou K, Trancoso P, “Thermal-aware scheduling for future chip multiprocessors”, EURASIP J Embed Syst 2007(1):40–40
  24. Coskun AK, Rosing TS, Gross KC, “Utilizing predictors for efficient thermal management in multiprocessor SoCs”, IEEE Trans Comput Aided Des Integr Circuits Syst 28(10) , 2009, pp.1503–1516.
  25. Kessaci Y, Mezmaz M, Melab N, Talbi E-G, Tuyttens D, “Parallel evolutionary algorithms for energy aware scheduling”, In: Bouvry P, Gonzalez-Velez H, Kołodziej J (eds) Intelligent decisions systems in large-scale distributed environments, studies in computational intelligence series, Chap 4, vol 362. Springer, Berlin, 2011 pp 75–100.
  26. Xin Huang, KenLi Li, RenFa Li, “A Energy Efficient Scheduling Base on Dynamic Voltage and Frequency Scaling for Multi-core Embedded Real-Time System”, International Conference on Algorithms and Architectures for Parallel Processing, 2009, pp 137-145.
  27. Xuan T. Tran, “Resource-Aware Scheduling in Heterogeneous, Multi-core Clusters for Energy Efficiency”, International Conference on Advances in Information and Communication Technology, 2016, pp 520-529.
  28. Tran Thi Xuan, Tien Van Do, “Job Scheduling in a Computational Cluster with Multicore Processors”, Advanced Computational Methods for Knowledge Engineering, May 2016, Vienna, pp 75-84
  29. Navonil Chatterjee, et al., “Deadline and energy aware dynamic task mapping and scheduling for Network-on-Chip based multi-core platform”, Journal of Systems Architecture, Volume 74, March 2017, Pages 61-77.
  30. Ying Li, Jianwei Niu, Mohammed Atiquzzaman, and Xiang Long, “Energy-aware scheduling on heterogeneous multi-core systems with guaranteed probability”, Journal of Parallel and Distributed Computing, Volume 103, May 2017, Pages 64-76.
  31. Neetesh Kumar, Deo Prakash Vidyarthi, “A GA based energy aware scheduler for DVFS enabled multicore systems”, Computing, Springer, October 2017, Volume 99, Issue 10, pp 955–977.
  32. Jejurikar, and Rajesh Gupta, “Energy-Aware Task Scheduling With Task Synchronization for Embedded Real-Time Systems”, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 6, JUNE 2006
  33. Henri Casanova, et al., “Algorithms and Scheduling Techniques to Manage Resilience and Power Consumption in Distributed Systems”, Dagstuhl Reports, Vol. 5, Issue 7, pp. 1–21
  34. O. U. P. Zapata and P. M. Alvarez, “EDF and RM Multiprocessor Scheduling Algorithms: Survey and Performance Evaluation”, TR, 2005, pp. 1 - 24.
  35. Weihua Zhang, et al., “A Novel Task Communication and Scheduling Algorithm for NoCbased MPSoC”, International Journal of Smart Home Vol. 9, No. 10, (2015), pp. 179-188
  36. Jingcao Hu, and Radu Marculescu, “Energy-Aware Communication and Task Scheduling for Network-on-Chip Architectures under Real-Time Constraints”, Proceedings of the conference on Design, automation and test in Europe, 2004, Volume 1, page 10234.
  37. Mohammad Shojafar, et al., “An Energy-aware Scheduling Algorithm in DVFS-enabled Networked Data Centers”, In Proceedings of the 6th International Conference on Cloud Computing and Services Science (CLOSER 2016) - Volume 2, pages 387-397.
  38. Paula Zab, et al., “Energy-aware scheduling mandatory/optional tasks in multicore real-time systems”, international transactions on operational researches, Volume 24, Issue 1-2, January/March 2017, Pages 173–198.
  39. Robert I. Davis,”A Review of fixed priority and EDF scheduling for hard real-time uniprocessor systems”, J. ACM Trans. Embedd. Comput. Syst., 11, 1 (Feb. 2014), 8–19.
  40. Tom Gu´erout, Mahdi Ben Alay, “Autonomic energy-aware tasks scheduling”, Open Archive TOULOUSE Archive Ouverte (OATAO), DOI :10.1109/WETICE.2013.29, June 2013, URL : http://dx.doi.org/10.1109/WETICE.2013.29
  41. Mario Bambagini, et al., “Energy-Aware Scheduling for Tasks with Mixed Energy Requirements”, Proceedings of the 4th International Real-Time Scheduling Open Problems Seminar (RTSOPS 2013)
  42. Lizhe Wang, et al., “Energy-aware parallel task scheduling in a cluster”, Future Generation Computer Systems, Elsevier, 29 (2013) 1661–1670
  43. Marko Bertogna, “Real-Time Scheduling Analysis for Multiprocessor Platforms”, Ph.D. Dissertation, Scuola Seprropre Sant Anna, Pisa, 2007.
  44. Akash Kumar, et al., “ Energy-aware task mapping and scheduling for reliable embedded computing systems”, ACM Transactions on Embedded Computing Systems, No. 72, Volume 13 Issue 2s, January 2014
  45. Namita Sharma, et al., “Energy Aware Task Scheduling for Soft Real Time Systems using an Analytical Approach for Energy Estimation”, IJASCSE, VOL 1, ISSUE 4, 2013.
  46. Vasanthamani KANNAIAN, Visalakshi PALANISAMY, “Energy optimized scheduling for non-preemptive real-time systems”, Turkish Journal of Electrical Engineering & Computer Sciences, (2017) 25: 3085 – 3096
  47. Jing Mei, “Energy-aware task scheduling in heterogeneous computing environments”, Cluster Comput, pringer Science+Business Media New York, 17:537–550S, 2013.
  48. Y. C. Lee and A. Y. Zomaya, “Minimizing energy consumption for precedence-constrained applications using dynamic voltage scaling,” in the 9th IEEE/ACM International Symposium on Cluster Computing and the Grid, May 2009, pp. 92 –99.
  49. Rajesh Kumar Pal, Ierum Shanaya, Kolin Paul, Sanjiva Prasad, “Dynamic core allocation for energy efficient video decoding in homogeneous and heterogeneous multicore architectures”, Elsevier, Future Generation Computer Systems 56 (2016) 247–261
  50. Amjad Mahmood, et al., “Energy-Aware Real-Time Task Scheduling in Multiprocessor Systems Using a Hybrid Genetic Algorithm”, Electronics 2017, 6, 40; doi: 10.3390/electronics6020040.
  51. F. A. Armenta-Cano, et al., “Min_c: Heterogeneous Concentration Policy for Energy-Aware Scheduling of Jobs with Resource Contention”, ISSN 0361-7688, Programming and Computer Software, 2017, Vol. 43, No. 3, pp. 204–215.
Index Terms

Computer Science
Information Sciences

Keywords

Multi-core processor Dynamic priority Scheduling Dynamic Voltage and Frequency Scaling Energy-Aware Scheduling