CFP last date
20 May 2024
Reseach Article

A Timing-driven Binding Algorithm for High-Level Synthesis of Three-dimensional Integrated Circuits

by Vyas Krishnan
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 179 - Number 49
Year of Publication: 2018
Authors: Vyas Krishnan
10.5120/ijca2018917234

Vyas Krishnan . A Timing-driven Binding Algorithm for High-Level Synthesis of Three-dimensional Integrated Circuits. International Journal of Computer Applications. 179, 49 ( Jun 2018), 1-7. DOI=10.5120/ijca2018917234

@article{ 10.5120/ijca2018917234,
author = { Vyas Krishnan },
title = { A Timing-driven Binding Algorithm for High-Level Synthesis of Three-dimensional Integrated Circuits },
journal = { International Journal of Computer Applications },
issue_date = { Jun 2018 },
volume = { 179 },
number = { 49 },
month = { Jun },
year = { 2018 },
issn = { 0975-8887 },
pages = { 1-7 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume179/number49/29505-2018917234/ },
doi = { 10.5120/ijca2018917234 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-07T00:58:44.161457+05:30
%A Vyas Krishnan
%T A Timing-driven Binding Algorithm for High-Level Synthesis of Three-dimensional Integrated Circuits
%J International Journal of Computer Applications
%@ 0975-8887
%V 179
%N 49
%P 1-7
%D 2018
%I Foundation of Computer Science (FCS), NY, USA
Abstract

As semiconductor technology scaling approaches its limits, 3-D integrated circuits (3-D ICs) have been proposed as one solution to continue the push towards increasing transistor counts in VLSI circuits. Recent progress in the fabrication of three-dimensional (3-D) integrated circuits has opened the possibility of exploiting this technology to alleviate performance and power related issues raised by interconnects in advanced nanometer CMOS VLSI circuits. Physical synthesis for 3-D integrated circuits is substantially different from traditional planar integrated circuits due to the presence of additional constraints of placing circuit modules in multiple silicon layers. To realize the full potential offered by 3-D integrated circuits, high-level synthesis of these circuits must take layout-related issues unique to 3-D technology into account during the synthesis process. This paper presents a 3-D layout-aware timing-driven binding algorithm for design-space exploration during high-level synthesis. The algorithm tightly integrates the synthesis tasks of resource binding, assignment of modules to multiple silicon die, 3-D floorplanning, and through-silicon via (TSV) minimization. Elmore delay models incorporating distributed wire-delays, together with delays introduced by pins and TSVs in a 3-D integrated circuit are used to compute data-transfer delays in a data path. Accurate estimates of individual net delays, obtained from net topologies in 3-D floorplans, are used to compute wire delays. Our experimental results show that a timing-driven binding algorithm for high-level synthesis can improve delays by an average of 12.2% and a maximum of up to 20.65%.

References
  1. V.F. Pavlidis, I. Davidis, and E.G. Friedman, “Three-Dimensional Integrated Circuit Design, Second Edition” Morgan Kaufman Publishers, 2017.
  2. R. Reif, et al., “Fabrication Technologies for Three- Dimensional Integrated Circuits,” Proceedings of the Internal Symposium on Quality Electronic Devices (ISQED 2002).
  3. D. Gajski et.al. “High-Level Synthesis: Introduction to Chip and System Design,” Kluwer Academic Publishers, 1992.
  4. J.-P. Weng and A.C. Parker, “3D scheduling: High-level synthesis with floorplanning,” Proc. of the 28th ACM/IEEE Conference on Design Automation, 1991.
  5. Y.M. Fang and D.F. Wong, “Simultaneous functional-unit binding and floorplanning,” Proceedings of the International Conference on Computer Aided Design (ICCAD 1994).
  6. P. Prabhakaran and P. Bannerjee, “Simultaneous Scheduling, Binding, and Floorplanning in high-level synthesis,” Proc. Intl. Conf. VLSI Design 1998.
  7. S. Tarafdar, M. Leeser, and Z. Yin, “Integrating Floorplanning in Data Transfer Based High-Level Synthesis,” Proceedings of the International Conference on Computer Aided Design (ICCAD 1998).
  8. D. Kim, J. Jung, S. Lee, J. Jeon, and K. Choi, “Behavior-to-Placed RTL Synthesis with Performance- Driven Placement,” Proc. of ICCAD 2001, pp. 320-325.
  9. M.Xu and F.J.Kurdahi, “Layout-driven RTL binding techniques for high-level synthesis using accurate estimators,” ACM Trans. Design Automation of Electronic Systems, vol.2, no.4, pp.312-343, 1997.
  10. W. E. Dougherty and D. E. Thomas, “Unifying behavioral synthesis and physical design,” in Proceedings of the Design Automation Conference (DAC 2000).
  11. A. Davoodi and A.Srivastava, “Power-Driven Simultaneous Resource Binding and Floorplanning: A Probabilistic Approach, IEEE Trans. Computer Aided Design of Integrated Circuits & Systems, 2005, pp. 934-942.
  12. A. Stammermann, et.al., “Binding, Allocation and Floorplanning in Low Power High-Level Synthesis”, Proceedings of the International Conference on Computer Aided Design (ICCAD 2003).
  13. L. Zhong and N. K. Jha, “Interconnect-aware high-level synthesis for low power,” in Proceedings of the International Conference on Computer Aided Design (ICCAD 2002).
  14. Z. Gu, et. al., “Incremental Exploration of the Combined Physical and Behavioral Design Space,” in Proc. DAC 2005.
  15. H.B.Bakoglu, Circuits, Interconnects, and Packaging for VLSI. Reading, MA: Addison-Wesley, 1990.
  16. M. Mukherjee and R. Vemuri, “Simultaneous Scheduling, Binding and Layer Assignment for Synthesis of Vertically Integrated 3D Systems,” in Proceedings of the International Conference on Computer Design (ICCD 2004).
  17. M. Mukherjee and R. Vemuri, “On Physical-Aware Synthesis of Vertically Integrated 3D Systems,” in Proc. International. Conference on. VLSI Design 2005.
  18. V. Krishnan and S. Katkoori, “A 3-D Layout Aware Binding Algorithm for High-Level Synthesis of Three-Dimensional Integrated Circuits,” in Proceedings of the International Symposium on Quality Electronic Devices (ISQED 2007).
  19. Y. Chen, et. al., “3DHLS: Incorporating High-Level Synthesis in Physical Planning of Three-Dimensional (3D) ICs,” Proceedings of the Design Automation and Test in Europe Conference (DATE 2012).
  20. F.Mo, A.Tabbara, and R.K.Brayton,“A Timing-driven Macrocell Placement Algorithm”, in Proceedings of the International Conference on Computer Design (ICCD 2001).
  21. V. Krishnan and S. Katkoori, “Minimizing Wire Delays by Net-Topology Aware Binding during Floorplan-Driven High Level Synthesis,” in Proceedings International Conference on Very Large Scale Integration (VLSI-SoC 2007).
  22. J. Cong et al., “A Thermal-Driven Floorplanning Algorithm for 3D ICs,” in Proceedings of the International Conference on Computer Aided Design (ICCAD 2004).
  23. H. Murata, et al., “VLSI Module Placement Based on Rectangle Packing by the Sequence Pair” in , IEEE Trans. Computer Aided Design of Integrated Circuits & Systems, 1996, vol 15(12), pp. 1518-1524.
  24. P. H. Shiu, and S. K. Lim, “Multi-layer Floorplanning for Reliable System-on-Package.”, in Proceedings of International Symposium on Circuits and Systems (ISCAS 2004).
  25. X. Dong, J. Zhao, and Y. Xie, “Fabrication cost analysis and cost-aware design space exploration for 3-D ICs,” IEEE Trans. Computer Aided Design of Integrated Circuits & Systems, 2010, pp. 1959-1972
Index Terms

Computer Science
Information Sciences

Keywords

High-Level Synthesis Three-dimensional Integrated Circuits Simulated Annealing Timing-driven Synthesis