CFP last date
20 May 2024
Reseach Article

Monte Carlo Analysis of Propagation Delay Deviation due to Process Induced Line Parasitic Variations in Global VLSI Interconnects

by K.G. Verma, Brajesh Kumar Kaushik, Raghuvir Singh
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 20 - Number 1
Year of Publication: 2011
Authors: K.G. Verma, Brajesh Kumar Kaushik, Raghuvir Singh
10.5120/2397-3185

K.G. Verma, Brajesh Kumar Kaushik, Raghuvir Singh . Monte Carlo Analysis of Propagation Delay Deviation due to Process Induced Line Parasitic Variations in Global VLSI Interconnects. International Journal of Computer Applications. 20, 1 ( April 2011), 26-29. DOI=10.5120/2397-3185

@article{ 10.5120/2397-3185,
author = { K.G. Verma, Brajesh Kumar Kaushik, Raghuvir Singh },
title = { Monte Carlo Analysis of Propagation Delay Deviation due to Process Induced Line Parasitic Variations in Global VLSI Interconnects },
journal = { International Journal of Computer Applications },
issue_date = { April 2011 },
volume = { 20 },
number = { 1 },
month = { April },
year = { 2011 },
issn = { 0975-8887 },
pages = { 26-29 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume20/number1/2397-3185/ },
doi = { 10.5120/2397-3185 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T20:06:40.194470+05:30
%A K.G. Verma
%A Brajesh Kumar Kaushik
%A Raghuvir Singh
%T Monte Carlo Analysis of Propagation Delay Deviation due to Process Induced Line Parasitic Variations in Global VLSI Interconnects
%J International Journal of Computer Applications
%@ 0975-8887
%V 20
%N 1
%P 26-29
%D 2011
%I Foundation of Computer Science (FCS), NY, USA
Abstract

Process variation has recently emerged as a major concern in the design of circuits including interconnect in current nanometer regime. Process variation leads to uncertainties of circuit performances such as propagation delay. The performance of VLSI/ULSI chip is becoming less predictable as MOSFET channel dimensions shrinks to nanometer scale. The reduced predictability can be ascribed to poor control of the physical features of devices and interconnects during the manufacturing process. Variations in these quantities maps to variations in the electrical behavior of circuits. The interconnect line resistance and capacitance varies due to changes in interconnect width and thickness, substrate, implant impurity level, and surface charge. This paper provides an analysis of the effect of interconnect parasitic variation on the propagation delay through driver-interconnect-load (DIL) system. The impact of process induced variations on propagation delay of the circuit is discussed for three different fabrication technologies i.e 130nm, 70nm and 45nm. The comparison between three technologies interestingly shows that the effect of line resistive and capacitive parasitics variation on propagation delay has almost uniform trend as feature size shrinks. However, resistive parasitic variation in global interconnects has very nominal effect on the propagation delay as compared to capacitive parasitics. Propagation delay variation is from 0.01% to 0.04% and -4.32% to 18.1% due to resistive and capacitive deviation of -6.1% to 25% respectively.

References
  1. Mizuno, T., Okumtura, J., and Toriumi, A. 1994. “Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET’s,” IEEE Trans. Electron Devices, vol. 41, no. 11, pp. 2216–2221.
  2. Frank, D., Dennard, R., Nowak, E., Solomon, P., Taur, Y. and Wong H.-S. 2001. “Device scaling limits of Si MOSFETs and their application dependencies,” Proc. IEEE, vol. 89, no. 3, pp. 259–288.
  3. Croon, J., Decoutere, S., Sansen, W. and Maes H. 2004. “Physical modeling and prediction of the matching properties of MOSFETs,” in Proc. 34th ESSDERC, pp. 193–196.
  4. “The International Technology Roadmap for Semiconductors,” ITRS Website. [Online]. Available: http://public.itrs.net
  5. Kaushik, B. K., Sarkar S. and Agarwal R.P. 2007. “Waveform Analysis and Delay Prediction for a CMOS Gate Driving RLC Interconnect Load”, Integration, the VLSI Journal, Elsevier Pub., Netherlands, vol. 40, no. 4, pp. 394-405.
  6. Kaushik, B. K. and Sarkar S. 2008 “Crosstalk Analysis for a CMOS-Gate-Driven Coupled Interconnects” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 6, pp. 1150-1154.
  7. Kaushik, B. K., Sarkar S., Agarwal R. P., and Joshi R. C., 2009 “Crosstalk Analysis of Simultaneously Switching Interconnects” International Journal of Electronics, Taylor and Francis (UK), vol. 96, no.10, pp. 1095-1114.
  8. Kaushik, B. K., Sarkar S., Agarwal R. P. and Joshi R. C., 2007. “Effect of Line Resistance and Driver Width on Crosstalk in Coupled VLSI Interconnects” Microelectronics International, Emerald Pub. U.K., vol. 24, no. 3, pp. 42-45.
  9. Masuda, H., Ohkawa, S., Kurokawa, A. and Aoki, M., 2005. “Challenge: Variability characterization and modeling for 65- to 90-nm processes,” in Proc. CICC, pp. 593–599.
  10. Boning, D. and Nassif, S., 2001. “Models of process variations in device and interconnect,” in Design of High Performance Microprocessor Circuits, A. Chandrakasan, W. J. Bowhill, and F. Fox, Eds. New York: Wiley.
  11. Verma, K. G., Kaushik, B.K. and Singh, R., 2009. “Effects of Process Variation in VLSI Interconnects- a Technical Review” Microelectronics International, Emerald Pub. U.K., vol. 26, no. 3, pp. 49-55.
  12. Jarrar, A. and Taylor, K., 2006. “On-Chip Variation and Timing Closure”, Electronics Design, Strategy, News (EDN), June, 2006.
  13. Verma, K.G., Kaushik, B.K. 2010. “Effect of Process Based Oxide Thickness Variation on the Delay of DIL System Using Monte Carlo Analysis” International Journal of Recent Trends in Engineering. Academy Publishers, Finland, vol. 3, no. 4, pp. 27-31.
  14. Verma, K.G., Kaushik, B.K., Singh, R. 2010. "Propagation Delay Variations under Process Deviation in Driver Interconnect Load System," International Conference on Advances in Recent Technologies in Communication and Computing (ARTCom), pp.408-410.
  15. Verma, K.G., Kaushik, B.K. and Singh, R. 2010. “Propagation Delay Variation due to Process Induced Threshold Voltage Variation” Communications in Computer and Information Science, 1, Information and Communication Technologies, Springer, vol.101, Part 3, pp. 520-524.
Index Terms

Computer Science
Information Sciences

Keywords

Process variation interconnects VLSI parasitic propagation delay.