CFP last date
20 May 2024
Reseach Article

Communication Bandwidth Adaptable Network Design of Complex Application Specific SoC

by Naveen Choudhary, M. S. Gaur, V. Laxmi
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 30 - Number 3
Year of Publication: 2011
Authors: Naveen Choudhary, M. S. Gaur, V. Laxmi
10.5120/3624-5060

Naveen Choudhary, M. S. Gaur, V. Laxmi . Communication Bandwidth Adaptable Network Design of Complex Application Specific SoC. International Journal of Computer Applications. 30, 3 ( September 2011), 15-22. DOI=10.5120/3624-5060

@article{ 10.5120/3624-5060,
author = { Naveen Choudhary, M. S. Gaur, V. Laxmi },
title = { Communication Bandwidth Adaptable Network Design of Complex Application Specific SoC },
journal = { International Journal of Computer Applications },
issue_date = { September 2011 },
volume = { 30 },
number = { 3 },
month = { September },
year = { 2011 },
issn = { 0975-8887 },
pages = { 15-22 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume30/number3/3624-5060/ },
doi = { 10.5120/3624-5060 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T20:16:35.803721+05:30
%A Naveen Choudhary
%A M. S. Gaur
%A V. Laxmi
%T Communication Bandwidth Adaptable Network Design of Complex Application Specific SoC
%J International Journal of Computer Applications
%@ 0975-8887
%V 30
%N 3
%P 15-22
%D 2011
%I Foundation of Computer Science (FCS), NY, USA
Abstract

The communication interconnects among the cores of the futuristic SoC is a vital challenge. NoC is being proposed as the appropriate solution for addressing these communication challenges of complex SoCs. To address design complexity and reuse, NoC systems are typically desired to be built from pre-designed and pre-verified homogenous or heterogeneous building blocks such as programmable RISC cores, DSPs, memory blocks. However most application specific SoC are special-purpose and are tailored to the domain-specific requirements of the desired application, which communicate in a very specific, mostly irregular way. In this work, a methodology for the design of communication centric customized irregular network infrastructure of SoC is proposed. The proposed methodology exploits a priori knowledge of the application’s communication attributes to generate an optimized network and associated routing tables to enable sufficient number of deadlock free paths for enhanced communication traffic and energy distribution across the network infrastructure of the SoC. In the proposed methodology the network is generated according to the requisite deadlock free paths having appropriate distribution of communication traffic.

References
  1. W.J. Dally and B.Towles, “Route Packets, Not Wires: On-Chip Interconnection Networks,” IEEE Proceedings of 38th Design Automation Conference (DAC), 2001, pp. 684–689.
  2. L. Benini and G. De Micheli., “Networks on Chips: A New SoC Paradigm,” journal of IEEE Computer, vol. 35, no. 1, January 2002, pp. 70–78.
  3. International Technical Roadmap for Semiconductors, http://public.itrs.net/, 2004.
  4. S. Kumar, A. Jantsch, J.-P. Soininen, M. Forsell, M. Millberg, J. Oberg, K. Tiensyrja, and A. Hemani, “A Network on Chip Architecture and Design Methodology,” Proc. of VLSI Annual Symposium (ISVLSI 2002), 2002, pp. 105–112.
  5. C. Glass and L. Ni, “The Turn Model for Adaptive Routing,” Proc. 19¬th International Symposium on Computer Architecture, May 1992, pp. 278– 287.
  6. M.D. Schroeder et al. “Autonet: A High-Speed Self-Configuring Local Area Network Using Point-to-Point Links,” Journal of Selected Areas in Communications, vol. 9, October 1991.
  7. A. Jouraku, A. Funahashi, H. Amano and M. Koibuchi, “L-turn routing: An Adaptive Routing in Irregular Networks,” Proceedings of International Conference on Parallel Processing, September 2001, pp. 374-383.
  8. Y.M. Sun, C.H. Yang, Y.C Chung and T.Y. Hang, “An Efficient Deadlock-Free Tree-Based Routing Algorithm for Irregular Wormhole-Routed Networks Based on Turn Model,” Proceedings of International Conference on Parallel Processing, vol. 1, August 2004, pp. 343-352.
  9. U. Ogras, J. Hu and R. Marculescu, “Key Research Problems in NoC Design: A Holistic Perspective,” IEEE CODES+ISSS, 2005, pp. 69-74.
  10. K. Lahiri et al. “Design Space Exploration for Optimizing On-Chip Communication Architectures,” IEEE TCAD, vol. 23, no.6, June 2004, pp. 952- 961.
  11. S. Murali and G. De Micheli, “An Application-Specific Design Methodology for STbus Crossbar Generation,” Proc. DATE 2005, 2005, pp. 1176-1181.
  12. S. Murali and G. DeMicheli, “SUNMAP: A Tool for Automatic Topology Selection and Generation for NoCs,” Proceeding of DAC, 2004.
  13. A. Hansson et al. “A Unified Approach to Constrained Mapping and Routing on Network-on-Chip Architectures,” Proceeding of ISSS, 2005, pp. 75-80.
  14. S. Murali et al. “Mapping and Physical Planning of Networks on Chip Architectures with Quality-of-Service Guarantees,” Proc. ASPDAC 2005, 2005.
  15. R. Ravi et al. “Approximation Algorithms for Degree-Constrained Minimum Cost Network Design Problems,” Algorithmica, vol 31, no. 1, 2001, pp. 58-78.
  16. A. Pinto et al. “Efficient Synthesis of Networks on Chip”. ICCD, October 2003, pp. 146-150.
  17. W.H. Ho and T.M. Pinkston, “A Methodology for Designing Efficient On-Chip Interconnects on Well-Behaved Communication Patterns,” HPCA, February 2003, pp. 377-388.
  18. K. Srinivasan et al. “An Automated Technique for Topology and Route Generation of Application Specific On-Chip Interconnection Networks,” Proc. ICCAD 2005, 2005.
  19. K. Srinivasan and K.S. Chatha, “ISIS: A Genetic Algorithm based Technique for Custom On-Chip Interconnection Network Synthesis,” Proceedings of 18th International Conference on VLSI Design, Kolkata, India, 2005, pp. 623-628.
  20. T. Ahonen et al. “Topology Optimization for Application Specific Networks on Chip,” Proc. SLIP 2004, 2004.
  21. C. Seiculescu, S. Murali, L. Benini, and G. De Micheli, "SunFloor 3D: A Tool for Networks on Chip Topology Synthesis for 3D Systems on Chip," Proc. DATE 2009, 2009, pp. 9-14.
  22. S. Murali, C. Seiculescu, L. Benini, and G. De Micheli, "Synthesis of Networks on Chips for 3D Systems on Chips," Proc. Asian and South Pacific Design Automation Conference, ASPDAC 2009, 2009, pp. 242-247.
  23. J. Hu, and R. Marculescu, “Energy-Aware Mapping for Tile-based NOC Architectures under Performance Constraints,” ASP-DAC 2003, Jan 2003.
  24. R.P. Dick, D.L. Rhodes and W. Wolf, “TGFF: Task Graphs for Free,” Proc. International Workshop on Hardware/Software Codesign, March 1998.
  25. Lavina Jain, B.M. Al-Hashimi, M.S. Gaur, V. Laxmi and A. Narayanan, “NIRGAM: A Simulator for NoC Interconnect Routing and Application Modelling, Proc. DATE 2007, 2007.
  26. NIRGAM A Simulator for NoC Interconnect Routing and Application Modeling, available at http://www.nirgam.ecs.soton.ac.uk (Last viewed on November 10, 2010)
  27. Y.C. Chang, Y.W. Chang, G.M. Wu and S.W. Wu, “B*-Trees: A New Representation for Non-Slicing Floorplans,” Proc. 37th Design Automation Conference, 2000, pp. 458-463.
  28. K. Srinivasan and K.S. Chatha, “Layout Aware Design of Mesh based NoC Architectures,” Proc. of 4th International Conference on Hardware Software Codesign and System Synthesis, Seoul, Korea, 2006, pp. 136-141.
  29. A.E. Eiben and J.E. Smith, Introduction to Evolutionary Computing, Springer-Verlag, Berlin, Heidelberg, 2003.
  30. T. Cormen, C.Leiserson, and R. Rivest, Introduction to Algorithms, Prentice Hall International, 1990.
  31. H-S Wang et al. “Orion: A Power-Performance Simulator for Interconnection Network,” Proc. International Symposium on Microarchitecture, Nov 2002.
  32. A.B. Kahng, B. Li, L.S. Peh and K. Samadi, “Orion 2.0: A Fast and Accurate NoC Power and Area Model for Early-Stage Design Space Exploration,” Proc. DATE’09, 2009, pp. 423–428.
  33. J. Duato, S. Yalamanchili and L. Ni, Interconnection Networks : An Engineering Approach, Elsevier, 2003.
Index Terms

Computer Science
Information Sciences

Keywords

Genetic Algorithms Core Graph On-Chip Networks Network-on-Chip Optimization