CFP last date
22 April 2024
Reseach Article

Article:A Survey of High-Level Synthesis Techniques for Area, Delay and Power Optimization

by S.M. Logesh, D. S. Harish Ram, M.C. Bhuvaneswari
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 32 - Number 10
Year of Publication: 2011
Authors: S.M. Logesh, D. S. Harish Ram, M.C. Bhuvaneswari
10.5120/3935-3952

S.M. Logesh, D. S. Harish Ram, M.C. Bhuvaneswari . Article:A Survey of High-Level Synthesis Techniques for Area, Delay and Power Optimization. International Journal of Computer Applications. 32, 10 ( October 2011), 1-6. DOI=10.5120/3935-3952

@article{ 10.5120/3935-3952,
author = { S.M. Logesh, D. S. Harish Ram, M.C. Bhuvaneswari },
title = { Article:A Survey of High-Level Synthesis Techniques for Area, Delay and Power Optimization },
journal = { International Journal of Computer Applications },
issue_date = { October 2011 },
volume = { 32 },
number = { 10 },
month = { October },
year = { 2011 },
issn = { 0975-8887 },
pages = { 1-6 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume32/number10/3935-3952/ },
doi = { 10.5120/3935-3952 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T20:18:49.326856+05:30
%A S.M. Logesh
%A D. S. Harish Ram
%A M.C. Bhuvaneswari
%T Article:A Survey of High-Level Synthesis Techniques for Area, Delay and Power Optimization
%J International Journal of Computer Applications
%@ 0975-8887
%V 32
%N 10
%P 1-6
%D 2011
%I Foundation of Computer Science (FCS), NY, USA
Abstract

With increasing complexity of digital signal processing VLSI circuits in recent decades, design methodologies and tools have moved to higher abstraction levels. High level Synthesis has been gaining lot of interest in recent years since the major design objectives such as area, delay and power of the circuit are mutually conflicting thereby necessitating trade-offs between different objectives. The electronic system-level (ESL) paradigm facilitates exploration, synthesis, and verification that can handle the complexity of today’s system-on-chip (SoC) designs. Processor customization and High Level Synthesis have become necessary paths to efficient ESL design. This paper presents the survey of high level synthesis approaches and methodologies for simultaneous area, delay and power optimization.

References
  1. De Micheli, Synthesis and Optimization of Digital Circuits. New York: McGraw-Hill, 1994.
  2. Pierre G. Paulin and John P. Knight, “Force Directed Scheduling for the behavioral synthesis of ASIC’s, ”IEEE Trans. Computer Aided Design, Vol.8, pp 661-679, June 1989.
  3. W.F.J. Verhaegh, E.H.L Aarts, J.H.M. Korst and P.E.R Lippens, “Improved Force Directed Scheduling,” Proc. Of European Design Automation Conf., pp.430-435, 1991.
  4. S. Davidson et. al., “Some experiments in local microcode compaction for horizontal machines,” IEEE Trans. On Computer, pp. 460-477, July 1981.
  5. R. Jain, A. Mujumdar, A. Sharma and H. Wang, “Emprical evaluation of some high-level synthesis scheduling heuristics,” Proc. of 28th DAC, pp. 210-215, 1991.
  6. R. K. Brayton, R. Camposano, G. De Micheli, R. Otten, and J. van Eijndhoven, “The Yorktown silicon compiler system,” in Silicon Compilation, D. D. Gajski, Ed. Reading, MA: Addison-Wesley, 1988, pp. 204–310.
  7. Z. Peng, “Synthesis of VLSI systems with the CAMAD design aid,” in Proc. 23rd ACM/IEEE Design Automation Conf., 1986, pp. 278-284.
  8. S. Devadas and A.R. Newton, “Algorithm for allocation in datapath synthesis,” IEEE Trans. on CAD of Interg. Cir. And Systems, Vol. 8, pp. 768-781, July 1989.
  9. T. A. Ly and J. T. Mowchenko, “Applying simulated evolution to high level synthesis,” IEEE Trans. Comput.-Aided Des., vol. 12, no. 2, pp. 389-409, Feb. 1993.
  10. J.Lee, Y.Hsu, and Y.Lin, “A new Integer Linear Programming Formulation for the Scheduling Problem in Data-Path Synthesis,” Proc of the Int. conf. on Computer-Aided Design, pp. 20-23, 1989.
  11. Ashok. K. Murugavel and Nagarajan Ranganathan, “A Game Theoretic Approach For Power Optimization During Behavioral Synthesis,” IEEE Transactions on VLSI, Vol 11, No. 6, Dec 2003.
  12. M. K. Dhodhi, F. H. Hielscher, R. H. Storer, and J. Bhasker, “Datapath synthesis using a problem-space genetic algorithm,” in IEEE Trans, Comput.-Aided Des., vol. 14, 1995, pp.934-944.
  13. C. Mandal, P. P. Chakrabarti, and S. Ghose, “GABIND: A GA approach to allocation and binding for the high-level synthesis of data paths,” IEEE Trans. Very Large-Scale Integrated Circuits, vol. 8, no. 5, pp. 747-750, Oct. 2000.
  14. E. Torbey and J. Knight, “Performing scheduling and storage optimization simultaneously using genetic algorithms,” in Proc. IEEE Midwest Symp. Circuits Systems, 1998, pp. 284–287.
  15. G. Ascia, V. Catania, and M. Palesi, “A GA-based design space exploration framework for parameterized system-on-a-chip platform, “IEEE Trans. Evol. Comput., vol. 8, no. 4, pp. 329–346, Aug. 2004
  16. D. Jackson, “Evolution of processor microcode,” IEEE Trans. Evol Comput., vol. 9, no. 1, pp. 44–54, Feb. 2005.
  17. V. Krishnan and S. Katkoori, “A genetic algorithm for the design space exploration of datapaths during high-level synthesis,” IEEE Trans. Evolutionary Computation, 10(3): 213–229, 2006.
  18. Fabrizio Ferrandi, Pier Luca Lanzi, Daniele Loiacono, Christian Pilato, Donatella Sciuto, “A Multi-Objective Genetic Algorithm for Design Space Exploration in High-Level Synthesis,” IEEE Computer Society Annual Symposium on VLSI, pp 417-422, 2008.
  19. G. Grewal, M.O’Cleirigh and M.Wineberg, “An Evolutionary Approach to Behavioral-Level Synthesis”, Proc. of Evolutionary Computation, Vol 1, 264-272, 2003.
Index Terms

Computer Science
Information Sciences

Keywords

High level synthesis Design space exploration System level design Genetic Algorithm Optimization Allocation Scheduling Binding Dataflow graph Behavioral description