CFP last date
22 April 2024
Reseach Article

An Energy Saving Tag Cache Model

by S. Subha
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 36 - Number 8
Year of Publication: 2011
Authors: S. Subha
10.5120/4514-6384

S. Subha . An Energy Saving Tag Cache Model. International Journal of Computer Applications. 36, 8 ( December 2011), 38-43. DOI=10.5120/4514-6384

@article{ 10.5120/4514-6384,
author = { S. Subha },
title = { An Energy Saving Tag Cache Model },
journal = { International Journal of Computer Applications },
issue_date = { December 2011 },
volume = { 36 },
number = { 8 },
month = { December },
year = { 2011 },
issn = { 0975-8887 },
pages = { 38-43 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume36/number8/4514-6384/ },
doi = { 10.5120/4514-6384 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T20:22:40.440107+05:30
%A S. Subha
%T An Energy Saving Tag Cache Model
%J International Journal of Computer Applications
%@ 0975-8887
%V 36
%N 8
%P 38-43
%D 2011
%I Foundation of Computer Science (FCS), NY, USA
Abstract

Energy consumption in caches is widely studied topic. The access to a cache line consumes energy. This paper proposes exclusive cache model that reduces the energy consumption over the tag cache model. The proposed model assumes two level exclusive cache with tag cache in level one. The tag cache consists of tag information of all cache levels. It is stored in cache in level one. An address is checked in tag cache and the corresponding line is accessed. On miss, the line is placed as in exclusive cache case updating the tag cache. The proposed model compares subsets of tag during address mapping. This turns on selectively the comparison circuitry in tag cache selectively saving energy consumption. A mathematical model is developed for the proposed model. The proposed model is simulated with SPEC2000 benchmarks. The average memory access time is comparable with tag cache with energy saving of 7%.

References
  1. D.A.Patterson and J. L. Hennessy, COMPUTER ARCHITECTURE: A QUANTITATIVE APPROACH, 3rd edition, Morgan Kaufmann Publishers, 2003.
  2. N.P.Jouppi, “Improving Direct-Mapped Cache Performance by the addition of a small fully-associative cache and prefetch buffers”, Proceedings of the 17th Annual Symposium on Computer Architecture, Seattle, WA, USA, pp 364-373, August, 1990.
  3. N.P.Jouppi and S.J.E.Wilton, “Tradeoffs in Two-level on chip caching” Proceedings of the 21ST annual international symposium on Computer architecture, Chicago, IL, USA, pp. 34-45, April, 1994.
  4. L.Zhao, R.Iyer, S.Makineni, D.Newell, L. Cheng, ” NCID: a non-inclusive cache, inclusive directory architecture for flexible and efficient cache hierarchies”, Proceedings of the 7th ACM international conference on Computing frontiers, Bertinoro, Italy , pp. 121-130, May 17-19, 2010.
  5. S.McFarling, “Cache Replacement with DynamicExclusion”, Proceedings of ISCA, GoldCoast, Queensland, Australia, pp. 191-200, May 1992.
  6. Y.Zheng, B.T.Davis and M.Jordan: “Performance Evaluation of Exclusion Cache Hierarchy”, IEEE International Symposium on Performance Analysis of Systems And Software, Austin, Texas, USA, pp. 89-96, March 10-12, 2004.
Index Terms

Computer Science
Information Sciences

Keywords

Average memory access time Energy consumption Set associative cache tag cache