CFP last date
22 April 2024
Reseach Article

Design and Verification of Low Power SRAM using 8T SRAM Cell Approach

by Nahid Rahman, B. P. Singh
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 67 - Number 18
Year of Publication: 2013
Authors: Nahid Rahman, B. P. Singh
10.5120/11494-7201

Nahid Rahman, B. P. Singh . Design and Verification of Low Power SRAM using 8T SRAM Cell Approach. International Journal of Computer Applications. 67, 18 ( April 2013), 11-15. DOI=10.5120/11494-7201

@article{ 10.5120/11494-7201,
author = { Nahid Rahman, B. P. Singh },
title = { Design and Verification of Low Power SRAM using 8T SRAM Cell Approach },
journal = { International Journal of Computer Applications },
issue_date = { April 2013 },
volume = { 67 },
number = { 18 },
month = { April },
year = { 2013 },
issn = { 0975-8887 },
pages = { 11-15 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume67/number18/11494-7201/ },
doi = { 10.5120/11494-7201 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T21:25:47.008257+05:30
%A Nahid Rahman
%A B. P. Singh
%T Design and Verification of Low Power SRAM using 8T SRAM Cell Approach
%J International Journal of Computer Applications
%@ 0975-8887
%V 67
%N 18
%P 11-15
%D 2013
%I Foundation of Computer Science (FCS), NY, USA
Abstract

SRAM cell stability will be a primary concern for future technologies due to variability and decreasing power supply voltages. Advances in chip designing have made possible the design of chips at high integration and fast performance. Lowering power consumption and increasing noise margin have become two central topics in every state of SRAM designs. The Conventional 6T SRAM cell is very much prone to noise during read operation. To overcome the read SNM problem in 6T SRAM cell, researchers have considered different configurations for SRAM cells such as 8T, 9T, 10T etc. bitcell design. These designs can improve the cell stability but suffer from bitline leakage noise. This paper targets reduction of power consumption and evaluates the static noise margin of 8T SRAM bitcells. In this paper, we propose a novel 8T SRAM topology that achieves both cell stability and also reduces Power Consumption. With the proposed 8T SRAM circuit, the Read Static Noise Margin is nearly twice that of the Conventional 6T SRAM Cell.

References
  1. E. Seevinck et al. , "Static-Noise Margin Analysis of MOS SRAM Cells," IEEE J. Solid-State Circuits, vol. SC-22, no. 5 pp. 748-754, Oct. 1987.
  2. Benton H. Calhaun, Anantha P. Chandrakasan "Static Noise Margin Variation for Sub-threshold SRAM in 65 nm CMOS", IEEE Journal of Solid-State Circuits,, pp. 1673-1679. vol. 41,July 2006.
  3. Benton H. Calhoun Anantha P. Chandrakasan, "Analyzing Static Noise Margin for Sub-threshold SRAM in 65nmCMOS",ESSCIRC,2005.
  4. S. Tavva et al. "Variation Tolerant 9T SRAM Cell Design" GLSVLSI'10,pp. 55-60,may 16, 2010.
  5. Arandilla,C. D. C et al. "Static Noise Margin of 6T SRAM Cell in 90-nm CMOS" IEEE 13th International Conference on computer modeling and Simulation, pp. 534-539,March 30,2011.
  6. E. Grossar "Read Stability and Write-Ability Analysis of SRAM Cells for Nanometer Technologies", IEEE J. Solid-State Circuits,vol. 41,no. 11 ,pp. 2577-2588,Nov. 2006.
  7. Sung Mo Kang and Yusuf Leblebici, "CMOS digital integrated circuits-analysis and design," Tata McGraw-Hill, third edition, 2003.
  8. S. Nakata et al. ,"Increasing Static Noise Margin of Single-bit-line SRAM Lowering Bit-line Voltage during Reading". IEEE 54th International Midwest Symposium on Circuits and Systems 2011. pp. 1-4,7 Aug,2011.
  9. K. Takeda et al. , "A Read-Static-Noise-Margin- Free SRAM Cell for Low-VDD and High-Speed Applications," IEEE J. Solid-State Circuits,vol. 41, no. 1 pp. 113-121, Jan. , 2006.
  10. Do Anh-Tuan et al. , "A 8T Diffrential SRAM With Improved Noise Margin for Bit-Interleaving in 65nm CMOS," IEEE transactions on circuits and systems, vol. 58, no. 6,pp. 1252-1263, June 2011.
  11. S. Birla et a. l. "Static Noise Margin Analysis of Various SRAM Topologies"IACSIT,pp. 304-309,vol. 3,No. 3,june 2011.
  12. L. Chang et al. , "Stable SRAM cell design for the 32 nm node and beyond," in Symp. VLSI Technology Dig. , Jun. 2005, pp. 128–129.
Index Terms

Computer Science
Information Sciences

Keywords

Read-Static Noise Margin (SNM) Stability and Power Consumption