CFP last date
22 April 2024
Reseach Article

Thermal Uniformity-Aware Application Mapping for Network-on-Chip Design

by Pradip Kumar Sahu, Kanchan Manna, Tapan Shah, Santanu Chattopadhyay
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 99 - Number 3
Year of Publication: 2014
Authors: Pradip Kumar Sahu, Kanchan Manna, Tapan Shah, Santanu Chattopadhyay
10.5120/17351-7838

Pradip Kumar Sahu, Kanchan Manna, Tapan Shah, Santanu Chattopadhyay . Thermal Uniformity-Aware Application Mapping for Network-on-Chip Design. International Journal of Computer Applications. 99, 3 ( August 2014), 8-22. DOI=10.5120/17351-7838

@article{ 10.5120/17351-7838,
author = { Pradip Kumar Sahu, Kanchan Manna, Tapan Shah, Santanu Chattopadhyay },
title = { Thermal Uniformity-Aware Application Mapping for Network-on-Chip Design },
journal = { International Journal of Computer Applications },
issue_date = { August 2014 },
volume = { 99 },
number = { 3 },
month = { August },
year = { 2014 },
issn = { 0975-8887 },
pages = { 8-22 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume99/number3/17351-7838/ },
doi = { 10.5120/17351-7838 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T22:27:12.166651+05:30
%A Pradip Kumar Sahu
%A Kanchan Manna
%A Tapan Shah
%A Santanu Chattopadhyay
%T Thermal Uniformity-Aware Application Mapping for Network-on-Chip Design
%J International Journal of Computer Applications
%@ 0975-8887
%V 99
%N 3
%P 8-22
%D 2014
%I Foundation of Computer Science (FCS), NY, USA
Abstract

Ensuring thermal-uniformity in an integrated circuit chip is very essential for its correct operation. Thus, in the Network-on-Chip (NoC) based system design as well, it is essential to attach cores of the application core graph to the routers in the topology graph so that thermal uniformity across the chip is maintained. However, the performance of the application should not be sacrificed to a great extent. Also, the CPU time needed to explore the overall search-space is quite high. This paper presents a tool to the designers to explore the search-space in a controlled fashion. The designer can specify the communication cost degradation that can be tolerated and the amount of effort put in to identify the potential solutions. All non-dominated solutions (in terms of communication cost and temperature variance) are reported from which the designer can choose the appropriate one for implementation.

References
  1. 2003 International Technology Roadmap for Semiconductors (ITRS),Sematech Inc. , http://public. itrs. net,2003.
  2. S. H. Gunther, F. Binns, D. M. Carmean, J. C. Hall, "Managing the Impact of Increasing Microprocessor Power Consumption", Intel Technology Journal, vol. 5, no. 1, pp. 1-9, 2001.
  3. S. Murali, G. De Micheli, "Bandwidth Constrained Mapping of Cores onto NoC Architectures",Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE), vol. 2, pp. 896-901, 2004.
  4. P. K. Sahu, S. Chattopadyay, "A Survey on Application Mapping Strategies for Network-on-Chip Design," Journal of System Architecture, Elsevier, vol. 59, issue 1, pp. 60-76, 2013.
  5. R. P. Dick, D. L. Rhodes, W. Wolf, "TGFF: Task Graphs For Free", Proceedings of International Workshop on Hardware/Software Codesign, 1998.
  6. Hotspot 5. 01, Thermal Modeling Tool for Integrated Circuits. http://lava. cs. virginia. edu/HotSpot
  7. P. K. Sahu, T. Shah, K. Manna, and S. Chattopadhyay, "Application Mapping onto Mesh based Network-on-Chip using Discrete Particle Swarm Optimization," IEEE Transactions on VLSI Systems (T-VLSI), vol. 2, issue 22, pp. 300-312, 2014.
  8. C. Tsai, S. Kang, "Cell-level placement for improving substrate thermal distribution," Transaction on Computer-Aided Design of Integrated Circuits and Systems, vol. 19, IEEE Press, NJ, USA, pp. 253– 266, 2000.
  9. O. Ozturk, M. Kandemir, S. W. Son, "An ILP based Approach to Reducing Energy Consumption in NoC based CMPs," IEEE International Symposiun on Low Power Electronics and Design (ISLPED), pp. 411-414, 2007.
  10. P. Ghosh, A. Sen, A. Hall, "Energy Efficient Application Mapping to NoC Processing Elements Operating at Multiple Voltage Levels," IEEE International Symposiun on Network-on-Chip (NoCS), pp. 80-85, 2009.
  11. J. Huang, C. Buckl, A. Raabe, A. Knool, "Energy-Aware Task Allocation for Network-on-Chip Based Heterogeneous Multiprocessor Systems," Euromicro International Conference on Parallel, Distributed and Network based Processing (PDP), pp. 447-454, 2011.
  12. C. L. Chou, R. Marculescu, "Contention-Aware Application Mapping for Network-on-Chip Communication Architectures," IEEE International Conference on Computer Design (ICCD), pp. 164-169, 2008.
  13. S. Tosun, O. Ozturk, M. Ozen, "An ILP Formulation for Application Mapping onto Network-on-Chips," International Conference on Application of Information and Communication Technologies (AICT), pp. 1-5, 2009.
  14. S. Tosun, "Clustered-based Application Mapping Method for Network-on-Chip," Journal of Advances in Engineering Software 42 (10), pp. 868-874, 2011.
  15. N. Koziris, M. Romesis, P. Tsanakas, G. Papakonstantinou, "An Efficient Algorithm for the Physical Mapping of Clustered Task Graphs onto Multiprocessor Architectures," Proceedings of 8th EuroPDP, pp. 406-413, 2000.
  16. J. Hu, R. Marculescu, "Energy- and Performance-Aware Mapping for Regular NoC Architectures," IEEE Trasactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 4, pp. 551-562, 2005.
  17. J. Hu, R. Marculescu, "Energy-Aware Mapping for Tile-based NoC Architectures under Performance Constraints," Proceedings of ASP-DAC Conference, pp. 233-239, 2003.
  18. K. Srinivasan, K. S. Chatha, "A Technique for Low Energy Mapping and Routing in Network-on-Chip Architecture," IEEE International Symposiun on Low Power Electronics and Design (ISLPED), pp. 387-392, 2005.
  19. T. Shen, C. H. Chao, Y. K. Lien, A. Y. Wu, "A New Binomial Mapping and Optimization Algorithm for Reduced-Complexity Mesh-based on-Chip Network," Proceedings of NOCS'07, pp. 317-322, 2007.
  20. R. Mehran, S. Saeidi, A. Khademzadeh, A. A. Kusha, "Spiral: A Heuristic Mapping Algorithm for Network on Chip," IEICE Electronics Express, vol. 4, no. 15, pp. 478-484, 2007.
  21. U. Y. Orgas, R. Marculescu, D. Marculescu, "Variation-Adaptive Feedback Control for Network-on-Chip with Multiple Clock Domains," Proceedings of DAC, pp. 614-619, 2008.
  22. M. Janidarmian, A. Khademzadeh, M. Tavanpour, "Onyx: A New Heuristic Bandwidth-Constrained Mapping of Cores onto Network on Chip," IEICE Electronics Express, vol. 6, no. 1, pp. 1-7, 2009.
  23. M. Tavanpour , A. Khademzadeh, M. Janidarmian, "Chain-Mapping for Mesh based Network-on-Chip Architecture," IEICE Electronics Express, vol. 6, no. 22, pp. 1535-1541, 2009.
  24. Y. Chen, L. Xie, J. Li, "An Energy-Aware Heuristic Constructive Mapping Algorithm for Network on Chip," International Conference on ASIC (ASICON), pp. 101-104, 2009.
  25. M. Reshadi, A. Khademzadeh, A. Reza, "Elixir: A New Bandwidth-Constrained Mapping for Networks-on-Chip," IEICE Electronics Express, vol. 7, no. 2, pp. 73-79, 2010.
  26. S. Tosun, "New Heuristic Algorithm for Energy Aware Application Mapping and Routing on Mesh-based NoCs," Journal of System Architecture, 57, pp. 69-78, 2011.
  27. T. Lei, S. Kumar, "A Two-step Genetic Algorithm for Mapping Task Graphs to a Network on Chip Architecture," Proceedings of the Euromicro Symposium on Digital System Design (DSD), pp. 180-187, 2003.
  28. K. Bhardwaj, R. K. Jena, "Energy and Bandwidth Aware Mapping of IPs onto Regular NoC Architectures Using Multi-objective Genetic Algorithms," International Symposium on System-on-Chip (SOC), pp. 27-31, 2009.
  29. F. M. Darbari, A. Khademzadeh, G. G. Fard, "CGMAP: A New Approach to Network-on-Chip Mapping Problem," IEICE Electronics Express, vol. 6, no. 1, pp. 27-34, 2009.
  30. G. Fen, W. Ning, "Genetic Algorithm based Mapping and Routing Approach for Network on Chip Architectures," Chinese Journal of Electronics, vol. 19, no. 1, pp. 91-96, 2010.
  31. M. Tavanpour, A. Khademzadeh, S. Pourkiani, M. Yaghobi, "GBMAP: An Evolutionary Approach to Mapping Cores onto a Mesh-based NoC Architecture," Journal of Communication and Computer, vol. 7, no. 3, pp. 1-7, 2010.
  32. W. Zhou, Y. Zhang, Z. Mao, "Link-load Balance Aware Mapping and Routing for NoC," WSEAS Transactions on Circuits and Systems, vol. 6, issue 11, pp. 583-591, 2007.
  33. W. Lei, L. Xiang, "Energy- and Latency-Aware NoC mapping Based on Discrete Particle Swarm Optimization," IEEE Internationa Conference on Communications and Mobile Computing, pp. 263-268, 2010.
  34. A. H. Benyamina, P. Boulet, A. Aroul, S. eltar, K. Dellal, "Mapping Real Time Applications on NoC Architecture with Hybrid Multi-objective Algorithm," International Conference on Metaheuristics and Nature Inspired Computing, pp. 1-10, 2010.
  35. P. K. Sahu, P. Venkatesh, S. Gollapalli, S. Chattopadhyay, "Application Mapping onto Mesh Structured Network-on-Chip using Particle Swarm Optimization," IEEE International Symposium on VLSI (ISVLSI), pp. 335-336, 2011.
  36. J. Wang, Y. Li, S. Chai, Q. Peng, "Bandwidth-Aware Application Mapping for NoC-Based MPSoCs," Journal of Computational Information Systems, 7:1, pp. 152-159, 2011.
  37. Y. Xie, W. L. Hung, "Temperature-Aware Task Allocation and Scheduling for Embeded Multiprocessor System-on-Chip (MPSoC) Design," Journal of VLSI Signal Processing, 45, pp. 177-189, 2006.
  38. K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, D. Tarjan, "Temperature-Aware Microarchitecture", IEEE International Symposiun on Computer Architecture(ISCA), pp. 1-12, 2003.
  39. L. Shang, L. S. Peh, A. Kumar, N. K. Jha, "Thermal Modeling Characterization and Management of On-chip Networks, IEEE/ACM International Symposium on Microarchitecture, pp. 67-78, 2004.
  40. L. Shang, L. S. Peh, A. Kumar, N. K. Jha, "Temperature-Aware On-chip Networks," IEEE Micro, IEEE Computer Society, vol. 26, no. 1, pp. 130-139, 2006.
  41. G. M. Link, N. Vijaykrishnan, "Hotspot Prevention Through Runtime Reconfiguration in Network-on-Chip," Proceedings of the Design, Automation and Test in Eorope Conference and Exhibition (DATE), vol. 1, pp. 648-649, 2005.
  42. A. K. Coskun, T. S. Rosing, K. A. Whisnant, "Temperature Aware Task Scheduling in MPSoCs," In the Proceedings of the Design, Automation and Test in Eorope Conference and Exhibition (DATE), pp. 1-6, 2007.
  43. C. A. Quaye, "Thermal-Aware Mapping and Placement for 3-D NoC Design," IEEE International Conference on SoC, pp. 25-28, 2005.
  44. W. Hung, C. A. Quaye, T. Theocharides, Y. Xie, N. Vijaykrishnan, M. J. Irwin, "Thermal-Aware IP Virtualization and Placement for Network-on-Chip Architecture," IEEE International Conference on Computer design (ICCD), pp. 430-437, 2004.
  45. W. Zhou, Y. Zhang, Z. Mao, "Pareto based Multi-objective Mapping IP Cores onto NoC Architecture", IEEE Asia Pacific Conference on Circuits and System (APCCAS), pp. 331-334, 2006.
  46. I. Anagnostopoulos, A. Bartzas, D. Soudris, "Application-Specific Temperature Reduction Systematic Methodology for 2D and 3D Network-on-Chip," Springer, PATMOS 2009, pp. 86-95, 2010.
  47. Y. Liu, Y. Ruan, Z. Lai, W. Jing, "Energy and Thermal Aware Mapping for Mesh-based NoC Architectures Using Multi-objective Ant Colony Algorithm," IEEE International Conference on Computer Research and Development (ICCRD), pp. 407-411, 2011.
  48. A. K. Coskun, T. S. Rosing, K. A. Whisnant, K. C. Gross, "Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 16, no. 9, pp. 1127-1140, 2008.
  49. H. Sarhan, O. K. Eddash, M. Raymond, A. Wassal, Y. Ismail, "Temperature-Aware Adaptive Task-Mapping Targeting Uniform Thermal Distribution in MPSoC platforms," IEEE International Conference on Energy-Aware Computing (ICEAC), pp. 1-3, 2010.
  50. P. K. Sahu, N. Shah, K. Manna, and S. Chattopadhyay, "A New Application Mapping Algorithm for Mesh based Network-on-Chip Design," IEEE International Conference (INDICON), pp. 1-4, 2010.
  51. P. K. Sahu, K. Manna, N. Shah, and S. Chattopadhyay, "Extending Kernighan–Lin partitioning heuristic for application mapping onto Network-on-Chip," Journal of System Architecture, DOI: 10. 1016/j. sysarc. 2014. 04. 004, 2014.
Index Terms

Computer Science
Information Sciences

Keywords

Application mapping Communication cost Mesh topology Network-on-Chip Temperature variance Thermal uniformity