CFP last date
20 May 2024
Reseach Article

Evolution of Transistor Technology from BJT to FinFET � A study

Published on September 2016 by Aman Kumar, Bobbinpreet Kaur, Mamta Arora
International Conference on Advances in Emerging Technology
Foundation of Computer Science USA
ICAET2016 - Number 3
September 2016
Authors: Aman Kumar, Bobbinpreet Kaur, Mamta Arora
787b0b79-6832-4bc0-b50d-d8c81d5ff5f8

Aman Kumar, Bobbinpreet Kaur, Mamta Arora . Evolution of Transistor Technology from BJT to FinFET � A study. International Conference on Advances in Emerging Technology. ICAET2016, 3 (September 2016), 4-10.

@article{
author = { Aman Kumar, Bobbinpreet Kaur, Mamta Arora },
title = { Evolution of Transistor Technology from BJT to FinFET � A study },
journal = { International Conference on Advances in Emerging Technology },
issue_date = { September 2016 },
volume = { ICAET2016 },
number = { 3 },
month = { September },
year = { 2016 },
issn = 0975-8887,
pages = { 4-10 },
numpages = 7,
url = { /proceedings/icaet2016/number3/25890-t041/ },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Proceeding Article
%1 International Conference on Advances in Emerging Technology
%A Aman Kumar
%A Bobbinpreet Kaur
%A Mamta Arora
%T Evolution of Transistor Technology from BJT to FinFET � A study
%J International Conference on Advances in Emerging Technology
%@ 0975-8887
%V ICAET2016
%N 3
%P 4-10
%D 2016
%I International Journal of Computer Applications
Abstract

The presentation of FinFET Technology has opened new sections in Nano-innovation. The arrangement of ultra-thin fin empowers stifled short channel effects. It is an alluring successor to the single gate MOSFET by the righteousness of its prevalent electrostatic properties and relative simplicity of manufacturability. Fin type field-impact transistors (FinFETs) are promising substitutes for mass CMOS at the Nanoscale. FinFETs are double gate device. The two gates of a FinFET can either be shorted for higher execution or autonomously controlled for lower spillage or decreased transistor number. These offers ascend to a rich outline space. Thus, a few difficulties and barricades that FinFET innovation needs to face to be focused on other innovation choices like, high get to resistance identified with the greatly thin body, implementation of strain promoters and manufacturability identified with the non-planar procedure and tight process control.

References
  1. Bibin Lawrence R Int. Journal of Engineering Research and Applications ISSN: 2248-9622, Vol. 5, Issue 12, (Part - 1), pp. 77-80, December (2015)
  2. Yuhua Cheng, Chenming Hu "MOSFET classification and operation". MOSFET modeling & BSIM3 user's guide Springer. p. 13. ISBN 0-7923-8575-6(1999)
  3. Debajit Bhattacharya and Niraj K. Jha, "FinFETs: From Devices to Architectures," Advances in Electronics, vol. 2014, Article ID 365689, 21 pages, 2014. doi:10. 1155/2014/365689
  4. J. P. Sun, Wei Wang, Toru Toyabe, IEEE Transactions On Electron Devices, Vol. 53, No. 12, December (2006)
  5. K. Hieda et al. , IEDM Tech. Dig. , p. 736 (1987)
  6. D. Hisamoto, T. Kaga, Y. Kawamoto, and E. Takeda, "A fully depleted lean-channel transistor (DELTA)—a novel vertical ultra-thin SOI MOSFET," in Proceedings of the International Electron DevicesMeeting (IEDM'89), pp. 833–836, Washington DC, USA, December (1989)
  7. D. Hisamoto, W. C. Lee, J. Kedzierski et al. , "FinFET—a selfaligned double-gate MOSFET scalable to 20 nm," IEEE Transactions on Electron Devices, vol. 47, no. 12, pp. 2320–2325, (2000)
  8. B. Yu, L. Chang, S. Ahmed et al. , "FinFET scaling to 10nm gate length," in Proceedings of the IEEE International DevicesMeeting (IEDM '02), pp. 251–254, San Francisco, Calif, USA, December (2002)
  9. S. Tang, L. Chang, N. Lindert et al. , "FinFET—a quasi-planar double-gate MOSFET," in Proceedings of the International of Solid-State Circuits Conference, pp. 118–119, February (2001)
  10. M. Guillorn, J. Chang, A. Bryant et al. , "FinFET performance advantage at 22 nm: an AC perspective," in Proceedings of the Symposium on VLSI Technology Digest of Technical Papers (VLSIT '08), pp. 12–13, June (2008)
  11. F. -L. Yang, D. -H. Lee, H. -Y. Chen et al. , "5nm-gate nanowire FinFET," in Proceedings of the Symposiumon VLSI Technology- Digest of Technical Papers, pp. 196–197, (June 2004)
  12. X. Huang,W. -C. Lee, C. Kuo et al. , "Sub 50-nm FinFET:PMOS," in Proceedings of the IEEE International DevicesMeeting (IEDM 99), pp. 67–70,Washington, DC, USA, December (1999)
  13. E. J. Nowak, I. Aller, T. Ludwig et al. , "Turning silicon on its edge [double gate CMOS/FinFET technology]," IEEE Circuits and Devices Magazine, vol. 20, no. 1, pp. 20–31, (2004)
  14. M. Alioto, "Comparative evaluation of layout density in 3T, 4T, and MT FinFET standard cells," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 19,no. 5, pp. 751–762, (2011)
  15. N. Collaert, M. Demand, I. Ferain et al. , "Tall triple-gate devices with TiN/HfO2 gate stack," in Proceedings of the Symposium on VLSI Technology, pp. 108–109, June (2005)
  16. 16. T. -S. Park, H. J. Cho, J. D. Choe et al. , "Characteristics of the full CMOS SRAM cell using body-tied TG MOSFETs (Bulk FinFETs)," IEEE Transactions on Electron Devices, vol. 53, no. 3, pp. 481–487, (2006)
  17. H. Kawasaki, K. Okano, A. Kaneko et al. , "Embedded bulk FinFET SRAM cell technology with planar FET peripheral circuit for hp32 nm node and beyond," in Proceedings of the Symposium on VLSI Technology (VLSIT '06), pp. 70–71, June (2006)
  18. S. Y. Kim and J. H. Lee, "Hot carrier-induced degradation in bulk FinFETs," IEEE Electron Device Letters, vol. 26, no. 8, pp. 566–568, (2005)
  19. S. K. Gupta, W. Cho, A. A. Goud, K. Yogendra, and K. Roy, "Design space exploration of FinFETs in sub-10nm technologies for energy efficient near-threshold circuits," Device Research Conference (DRC), (2013)
  20. N. K. Jha, and D. Chen, Nano-electronic Circuit Design, Springer Press, (2011)
  21. Kerber, P. et al, "Strained SOI FinFET SRAM Design" Electron Device Letters IEEE (Volume: 34, Issue: 7), pp: 876– 878,(2013)
  22. Ming-Hung Han et al, "Device and Circuit Performance Estimation of Junction less Bulk FinFETs", Electron Devices, IEEE Transactions on (Volume:60 , Issue:6 ), pp:1807 – 1813, (2013)
  23. A. Goel, S. K. Gupta, and K. Roy, "Asymmetric drain spacer extension (ADSE) FinFETs for low-power and robust SRAMs,"IEEE Transactions on Electron Devices, vol. 58, no. 2, pp. 296–308, (2011)
Index Terms

Computer Science
Information Sciences

Keywords

Transistors Short Channel Effects Finfet Fin Shape Sram