CFP last date
22 April 2024
Reseach Article

Article:Power Optimized ALU for Efficient Datapath

by M.Kamaraju, K.Lal Kishore, A.V.N.Tilak
International Journal of Computer Applications
Foundation of Computer Science (FCS), NY, USA
Volume 11 - Number 11
Year of Publication: 2010
Authors: M.Kamaraju, K.Lal Kishore, A.V.N.Tilak
10.5120/1624-2185

M.Kamaraju, K.Lal Kishore, A.V.N.Tilak . Article:Power Optimized ALU for Efficient Datapath. International Journal of Computer Applications. 11, 11 ( December 2010), 39-43. DOI=10.5120/1624-2185

@article{ 10.5120/1624-2185,
author = { M.Kamaraju, K.Lal Kishore, A.V.N.Tilak },
title = { Article:Power Optimized ALU for Efficient Datapath },
journal = { International Journal of Computer Applications },
issue_date = { December 2010 },
volume = { 11 },
number = { 11 },
month = { December },
year = { 2010 },
issn = { 0975-8887 },
pages = { 39-43 },
numpages = {9},
url = { https://ijcaonline.org/archives/volume11/number11/1624-2185/ },
doi = { 10.5120/1624-2185 },
publisher = {Foundation of Computer Science (FCS), NY, USA},
address = {New York, USA}
}
%0 Journal Article
%1 2024-02-06T20:00:26.959449+05:30
%A M.Kamaraju
%A K.Lal Kishore
%A A.V.N.Tilak
%T Article:Power Optimized ALU for Efficient Datapath
%J International Journal of Computer Applications
%@ 0975-8887
%V 11
%N 11
%P 39-43
%D 2010
%I Foundation of Computer Science (FCS), NY, USA
Abstract

With the scaling of technology and the need for high performance and more functionality, power dissipation becomes a major bottleneck for microprocessor systems design. Also clock power can be significant in high performance systems. In this paper, a low power ALU for efficient datapath is proposed. In ALU, based on the observation, that while one functional unit is working other functional units remain idle, but they are connected to clock and all units dissipating significant amount of power. By using clock gating technique, a significant amount of power saving can be achieved at high frequency operations. Functionality of proposed ALU implemented on FPGA is tested using Xilinx tool. Power analysis is carried out using Xilinx’s Xpower analysis tool. It is found that designed ALU is dissipating a power of 24mw when it is operated at a clock frequency of 15MHz and supply voltage of 2.4V under load current of 4.8mA

References
  1. Ahmad Zmily, Christos Kozyrakis, “A Low Power Front-End for Embedded Processors Using a Block-Aware Instruction Set”, Proc. of the Automation Science & Engineering (CASE 07), 2007, pp. 267-276.
  2. Bill Moyer, “Low Power Design for Embedded Processor”, Proc. of IEEE, Vol.89, No.11, 2001, pp.1576-1586.
  3. Castro J, Parra P, Acosta A.J., “Optimization of Clock-gating Structures for Low-leakage High-performance Applications,” Proc. of 2010 IEEE International Symposium on Circuits and Systems (ISCAS), 2010, pp. 3220 – 3223.
  4. Kamaraju M, Lal Kishore K, Tilak A.V.N, “Power Optimized Programmable Embedded Controller”, International Journal of Computer Networks & Communications (IJCNC), Vol.2, No.4, 2010, pp 97 – 107.
  5. Kamaraju M, Lal Kishore K, Tilak A.V.N, “Implementation of Low Power Datapath and Control Units of 8- bit Processor,” International Journal on Recent Trends in Engineering & Technolgy , 2010 , in press.
  6. Michael K.Gowan, Larry L.Biro, Daniel B.Jackson, “Power Considerations in the Design of the Alpha 21264 Microprocessor”, Proc. of the 35th Design Automation Conference (DAC'98), 1998, pp. 726- 731.
  7. Monica Donno, Enrico Macii, Luca Mazzoni, “Power-Aware Clock Tree Planning”, ISPD’04, 2004, pp. 138-147.
  8. Pietro Babighian, Luca Benini, Enrico Macii, “A Scalable Algorithm for RTL Insertion of Gated Clocks Based on ODCs Computation”, IEEE Trans. Computer-Aided Design, Vol. 24, No. 1, 2005, pp.29-42.
  9. Qi Wang, Sumit Roy, “RTL Power Optimization with Gate-level Accuracy,” Proc. of the International Conference on Computer Aided Design (ICCAD’03), 2003, pp. 39-45.
  10. Richa Srivastava, S.A .Imam, Sujata Pandey, “Low Power Design Techniques for high performance Digital Integrated Circuits,” MASAUM Journal of Reviews and Surveys, Vol. 1, No.1, 2009, pp.81-90.
  11. Rolf Hakenes, Yiannos Manoli, “A Novel Low – Power Microprocessor Architecture” Proc. of the International Conference on Computer Design: VLSI in Computers & Processors, 2000, pp.141- 146.
  12. Saeid Moslehpour, Srikrishna Karatalapu, “VLSI and SPICE Modeling of ALU,” Proc. of the 2008 IAJC-IJME International Conference, 2008, pp. 401-415.
  13. Steve Haga, Natasha Reeves, Rajeev Barua, Diana Marculescu, “Dynamic Functional Unit Assignment for Low Power”, Proc. of the Design, Automation and Test in Europe Conference and Exhibition (DATE’03), 2003, pp. 1-6.
  14. Sulaiman, D.R., “Using Clock Gating Technique for Energy Reduction in Portable Computers”, Proc. of International Conference on Computer and Communication Engineering, ICCCE 2008, pp.839 – 842.
  15. Swaroop Ghosh and Kaushik Roy, “Exploring High-Speed Low-Power Hybrid Arithmetic Units at Scaled Supply and Adaptive Clock-Stretching,” Proc. of the 2008 Asia and South Pacific Design Automation Conference, pp.635 – 640.
Index Terms

Computer Science
Information Sciences

Keywords

Clock Gating ALU Dynamic power Microprocessor Datapath Simulation